diff --git a/comp/uvm/logic_vector_array/sequencer.sv b/comp/uvm/logic_vector_array/sequencer.sv index 5d42322ee..844d3d037 100644 --- a/comp/uvm/logic_vector_array/sequencer.sv +++ b/comp/uvm/logic_vector_array/sequencer.sv @@ -10,7 +10,7 @@ class sequencer #(int unsigned ITEM_WIDTH) extends uvm_sequencer #(sequence_item #(ITEM_WIDTH)); - `uvm_component_utils(uvm_logic_vector_array::sequencer #(ITEM_WIDTH)) + `uvm_component_param_utils(uvm_logic_vector_array::sequencer #(ITEM_WIDTH)) uvm_reset::sync_terminate reset_sync;