diff --git a/devel/app-minimal.html b/devel/app-minimal.html index 5c1c0f0e6..101f6a77e 100644 --- a/devel/app-minimal.html +++ b/devel/app-minimal.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/genindex.html b/devel/genindex.html index b0ee05ec0..ddd488a88 100644 --- a/devel/genindex.html +++ b/devel/genindex.html @@ -35,7 +35,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/index.html b/devel/index.html index b3b53c18d..56cde490e 100644 --- a/devel/index.html +++ b/devel/index.html @@ -37,7 +37,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_cards/amd/alveo-u200/readme.html b/devel/ndk_cards/amd/alveo-u200/readme.html index 4424ee669..95fce264c 100644 --- a/devel/ndk_cards/amd/alveo-u200/readme.html +++ b/devel/ndk_cards/amd/alveo-u200/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_cards/amd/alveo-u55c/readme.html b/devel/ndk_cards/amd/alveo-u55c/readme.html index 50a6784d1..5d730faf0 100644 --- a/devel/ndk_cards/amd/alveo-u55c/readme.html +++ b/devel/ndk_cards/amd/alveo-u55c/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_cards/amd/vcu118/readme.html b/devel/ndk_cards/amd/vcu118/readme.html index 00be6d6de..042269cde 100644 --- a/devel/ndk_cards/amd/vcu118/readme.html +++ b/devel/ndk_cards/amd/vcu118/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_cards/bittware/ia-420f/readme.html b/devel/ndk_cards/bittware/ia-420f/readme.html index 41c8707ed..aef2360f9 100644 --- a/devel/ndk_cards/bittware/ia-420f/readme.html +++ b/devel/ndk_cards/bittware/ia-420f/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_cards/intel/dk-dev-1sdx-p/readme.html b/devel/ndk_cards/intel/dk-dev-1sdx-p/readme.html index fc4f08fec..379f5f407 100644 --- a/devel/ndk_cards/intel/dk-dev-1sdx-p/readme.html +++ b/devel/ndk_cards/intel/dk-dev-1sdx-p/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_cards/intel/dk-dev-agi027res/readme.html b/devel/ndk_cards/intel/dk-dev-agi027res/readme.html index 4ee18b8ac..4b212ce71 100644 --- a/devel/ndk_cards/intel/dk-dev-agi027res/readme.html +++ b/devel/ndk_cards/intel/dk-dev-agi027res/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_cards/reflexces/agi-fh400g/readme.html b/devel/ndk_cards/reflexces/agi-fh400g/readme.html index 2b1254c8b..a7f702e0d 100644 --- a/devel/ndk_cards/reflexces/agi-fh400g/readme.html +++ b/devel/ndk_cards/reflexces/agi-fh400g/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_cards/silicom/fb2cghh/readme.html b/devel/ndk_cards/silicom/fb2cghh/readme.html index bbf479d8d..5315b459a 100644 --- a/devel/ndk_cards/silicom/fb2cghh/readme.html +++ b/devel/ndk_cards/silicom/fb2cghh/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_cards/silicom/fb4cgg3/readme.html b/devel/ndk_cards/silicom/fb4cgg3/readme.html index 35d87d59c..f52176913 100644 --- a/devel/ndk_cards/silicom/fb4cgg3/readme.html +++ b/devel/ndk_cards/silicom/fb4cgg3/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_cards/silicom/n6010/readme.html b/devel/ndk_cards/silicom/n6010/readme.html index 90c7be311..d2f8a24ea 100644 --- a/devel/ndk_cards/silicom/n6010/readme.html +++ b/devel/ndk_cards/silicom/n6010/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/doc/configuration.html b/devel/ndk_core/doc/configuration.html index 122355175..fcbb46799 100644 --- a/devel/ndk_core/doc/configuration.html +++ b/devel/ndk_core/doc/configuration.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/doc/devtree.html b/devel/ndk_core/doc/devtree.html index 51b2a1ccb..dced9fb0b 100644 --- a/devel/ndk_core/doc/devtree.html +++ b/devel/ndk_core/doc/devtree.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/doc/faq.html b/devel/ndk_core/doc/faq.html index 8f39bde7e..861b4ab54 100644 --- a/devel/ndk_core/doc/faq.html +++ b/devel/ndk_core/doc/faq.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/doc/how_to_start.html b/devel/ndk_core/doc/how_to_start.html index a4c4cdc96..20028b813 100644 --- a/devel/ndk_core/doc/how_to_start.html +++ b/devel/ndk_core/doc/how_to_start.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/doc/terminology.html b/devel/ndk_core/doc/terminology.html index 9d0a100f0..3310a09bb 100644 --- a/devel/ndk_core/doc/terminology.html +++ b/devel/ndk_core/doc/terminology.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/doc/testing.html b/devel/ndk_core/doc/testing.html index b1caec21e..ad4ba1f12 100644 --- a/devel/ndk_core/doc/testing.html +++ b/devel/ndk_core/doc/testing.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/intel/cocotb/README.html b/devel/ndk_core/intel/cocotb/README.html index 4bdac92c4..1c3c5c488 100644 --- a/devel/ndk_core/intel/cocotb/README.html +++ b/devel/ndk_core/intel/cocotb/README.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/intel/doc/app.html b/devel/ndk_core/intel/doc/app.html index 1479c0018..a015bd319 100644 --- a/devel/ndk_core/intel/doc/app.html +++ b/devel/ndk_core/intel/doc/app.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
@@ -569,6 +569,16 @@

Ports and generics of the Application

CLK_ETH

+

std_logic_vector(ETH_STREAMS-1 downto 0)

+

in

+

clock input with ethernet core frequency for each ETH stream

+ +

RESET_ETH

+

std_logic_vector(ETH_STREAMS-1 downto 0)

+

in

+

reset input synchronized with CLK_ETH for each ETH stream

+

=====

CLOCK AND RESET OUTPUTS (DEFINED BY APPLICATION)

=====

diff --git a/devel/ndk_core/intel/doc/dma.html b/devel/ndk_core/intel/doc/dma.html index 50389c962..3c86d94c3 100644 --- a/devel/ndk_core/intel/doc/dma.html +++ b/devel/ndk_core/intel/doc/dma.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/intel/doc/eth.html b/devel/ndk_core/intel/doc/eth.html index 9d3911765..0be88a61b 100644 --- a/devel/ndk_core/intel/doc/eth.html +++ b/devel/ndk_core/intel/doc/eth.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/intel/doc/mem.html b/devel/ndk_core/intel/doc/mem.html index c7a724f8a..eac397c92 100644 --- a/devel/ndk_core/intel/doc/mem.html +++ b/devel/ndk_core/intel/doc/mem.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/intel/doc/mi.html b/devel/ndk_core/intel/doc/mi.html index 6d4f8790c..6a468f5ce 100644 --- a/devel/ndk_core/intel/doc/mi.html +++ b/devel/ndk_core/intel/doc/mi.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/intel/doc/pcie.html b/devel/ndk_core/intel/doc/pcie.html index 1fad85dba..6cc9496f4 100644 --- a/devel/ndk_core/intel/doc/pcie.html +++ b/devel/ndk_core/intel/doc/pcie.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/intel/doc/tsu.html b/devel/ndk_core/intel/doc/tsu.html index a937eb9ea..1a1bd883c 100644 --- a/devel/ndk_core/intel/doc/tsu.html +++ b/devel/ndk_core/intel/doc/tsu.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/intel/readme.html b/devel/ndk_core/intel/readme.html index 0a96f8fe8..32319eb8a 100644 --- a/devel/ndk_core/intel/readme.html +++ b/devel/ndk_core/intel/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.html b/devel/ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.html index 786f35f46..380cf9f09 100644 --- a/devel/ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.html +++ b/devel/ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ndk_core/intel/src/comp/network_mod/readme.html b/devel/ndk_core/intel/src/comp/network_mod/readme.html index af521dbb2..03ad42259 100644 --- a/devel/ndk_core/intel/src/comp/network_mod/readme.html +++ b/devel/ndk_core/intel/src/comp/network_mod/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
@@ -252,19 +252,24 @@

Entity Docs

EHIP_PORT_TYPE

integer_vector(ETH_PORTS-1 downto 0)

(others => 0)

-

Maximum allowed size of RX frame in bytes per Ethernet port.

+

Type of used IP core default is F_Tile.

ETH_PORT_RX_MTU

integer_vector(ETH_PORTS-1 downto 0)

(others => 16383)

-

Type of used IP core default is F_Tile.

+

Maximum allowed size of RX frame in bytes per Ethernet port.

ETH_PORT_TX_MTU

integer_vector(ETH_PORTS-1 downto 0)

(others => 16383)

Maximum allowed size of TX frame in bytes per Ethernet port.

-

LANES

+

ETH_MAC_BYPASS

+

boolean

+

False

+

Optional option to disable MAC Lite modules. Dangerously!

+ +

LANES

natural

4

Number of serial lanes. @@ -274,27 +279,27 @@

Entity Docs

QSFP_PORTS

+

QSFP_PORTS

natural

2

-

QSFP_I2C_PORTS

+

QSFP_I2C_PORTS

natural

1

max 2

-

QSFP_I2C_TRISTATE

+

QSFP_I2C_TRISTATE

boolean

true

-

=====

+

=====

MFB configuration:

=====

=====

-

REGIONS

+

REGIONS

natural

1

@@ -304,72 +309,72 @@

Entity Docs

REGION_SIZE

+

REGION_SIZE

natural

8

-

BLOCK_SIZE

+

BLOCK_SIZE

natural

8

-

ITEM_WIDTH

+

ITEM_WIDTH

natural

8

-

=====

+

=====

MI configuration:

=====

=====

-

MI_DATA_WIDTH

+

MI_DATA_WIDTH

natural

32

-

MI_ADDR_WIDTH

+

MI_ADDR_WIDTH

natural

32

-

MI_DATA_WIDTH_PHY

+

MI_DATA_WIDTH_PHY

natural

32

-

MI_ADDR_WIDTH_PHY

+

MI_ADDR_WIDTH_PHY

natural

32

-

=====

+

=====

Other configuration:

=====

=====

-

LANE_RX_POLARITY

+

LANE_RX_POLARITY

std_logic_vector(ETH_PORTS*LANES-1 downto 0)

(others => ‘0’)

Ethernet lanes polarity

-

LANE_TX_POLARITY

+

LANE_TX_POLARITY

std_logic_vector(ETH_PORTS*LANES-1 downto 0)

(others => ‘0’)

-

RESET_WIDTH

+

RESET_WIDTH

natural

8

Number of user resets.

-

DEVICE

+

DEVICE

string

“STRATIX10”

Select correct FPGA device.

-

BOARD

+

BOARD

string

“DK-DEV-1SDX-P”

400G1, DK-DEV-AGI027RES, DK-DEV-1SDX-P

diff --git a/devel/ndk_core/intel/src/comp/network_mod/uvm/readme.html b/devel/ndk_core/intel/src/comp/network_mod/uvm/readme.html index fbfa2f83e..096d36cd1 100644 --- a/devel/ndk_core/intel/src/comp/network_mod/uvm/readme.html +++ b/devel/ndk_core/intel/src/comp/network_mod/uvm/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/objects.inv b/devel/objects.inv index 3466e73d3..4a7ba7117 100644 Binary files a/devel/objects.inv and b/devel/objects.inv differ diff --git a/devel/ofm_doc/async.html b/devel/ofm_doc/async.html index e36af1734..b86a3cbfc 100644 --- a/devel/ofm_doc/async.html +++ b/devel/ofm_doc/async.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/base.html b/devel/ofm_doc/base.html index 8e1fa425a..8f2ff0921 100644 --- a/devel/ofm_doc/base.html +++ b/devel/ofm_doc/base.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/build/readme.html b/devel/ofm_doc/build/readme.html index 666df61dc..f2d69ce7c 100644 --- a/devel/ofm_doc/build/readme.html +++ b/devel/ofm_doc/build/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/dsp/dsp_comparator/readme.html b/devel/ofm_doc/comp/base/dsp/dsp_comparator/readme.html index 59bc9d7c1..fe4b8bf93 100644 --- a/devel/ofm_doc/comp/base/dsp/dsp_comparator/readme.html +++ b/devel/ofm_doc/comp/base/dsp/dsp_comparator/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/fifo/asfifox/readme.html b/devel/ofm_doc/comp/base/fifo/asfifox/readme.html index fb62ed9f2..510db4d89 100644 --- a/devel/ofm_doc/comp/base/fifo/asfifox/readme.html +++ b/devel/ofm_doc/comp/base/fifo/asfifox/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/fifo/fifox/readme.html b/devel/ofm_doc/comp/base/fifo/fifox/readme.html index 62d8e17b4..6c3b231b6 100644 --- a/devel/ofm_doc/comp/base/fifo/fifox/readme.html +++ b/devel/ofm_doc/comp/base/fifo/fifox/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/fifo/fifox_multi/readme.html b/devel/ofm_doc/comp/base/fifo/fifox_multi/readme.html index 5f1c3e79b..43d825f28 100644 --- a/devel/ofm_doc/comp/base/fifo/fifox_multi/readme.html +++ b/devel/ofm_doc/comp/base/fifo/fifox_multi/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/logic/barrel_shifter/readme.html b/devel/ofm_doc/comp/base/logic/barrel_shifter/readme.html index 0942a4cdd..1d43a322d 100644 --- a/devel/ofm_doc/comp/base/logic/barrel_shifter/readme.html +++ b/devel/ofm_doc/comp/base/logic/barrel_shifter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/logic/cnt_multi_memx/readme.html b/devel/ofm_doc/comp/base/logic/cnt_multi_memx/readme.html index 92d82ec56..6d01982c1 100644 --- a/devel/ofm_doc/comp/base/logic/cnt_multi_memx/readme.html +++ b/devel/ofm_doc/comp/base/logic/cnt_multi_memx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/logic/h3hash/readme.html b/devel/ofm_doc/comp/base/logic/h3hash/readme.html index e926df40a..84d331be9 100644 --- a/devel/ofm_doc/comp/base/logic/h3hash/readme.html +++ b/devel/ofm_doc/comp/base/logic/h3hash/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/logic/n_loop_op/readme.html b/devel/ofm_doc/comp/base/logic/n_loop_op/readme.html index 4e76584f8..563e7bbf5 100644 --- a/devel/ofm_doc/comp/base/logic/n_loop_op/readme.html +++ b/devel/ofm_doc/comp/base/logic/n_loop_op/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/logic/sr_sync_latch/readme.html b/devel/ofm_doc/comp/base/logic/sr_sync_latch/readme.html index c766d40a8..9606f2e91 100644 --- a/devel/ofm_doc/comp/base/logic/sr_sync_latch/readme.html +++ b/devel/ofm_doc/comp/base/logic/sr_sync_latch/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/mem/mp_bram/readme.html b/devel/ofm_doc/comp/base/mem/mp_bram/readme.html index b46dbf6ec..acbea839c 100644 --- a/devel/ofm_doc/comp/base/mem/mp_bram/readme.html +++ b/devel/ofm_doc/comp/base/mem/mp_bram/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/mem/np_lutram/readme.html b/devel/ofm_doc/comp/base/mem/np_lutram/readme.html index 32e42a020..363579a67 100644 --- a/devel/ofm_doc/comp/base/mem/np_lutram/readme.html +++ b/devel/ofm_doc/comp/base/mem/np_lutram/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/mem/sdp_bram/readme.html b/devel/ofm_doc/comp/base/mem/sdp_bram/readme.html index 3a241d6c7..c090ef958 100644 --- a/devel/ofm_doc/comp/base/mem/sdp_bram/readme.html +++ b/devel/ofm_doc/comp/base/mem/sdp_bram/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/misc/crossbarx/readme.html b/devel/ofm_doc/comp/base/misc/crossbarx/readme.html index a94baecc4..fb36c90aa 100644 --- a/devel/ofm_doc/comp/base/misc/crossbarx/readme.html +++ b/devel/ofm_doc/comp/base/misc/crossbarx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/misc/event_counter/readme.html b/devel/ofm_doc/comp/base/misc/event_counter/readme.html index 830340e2c..d8aac3bfd 100644 --- a/devel/ofm_doc/comp/base/misc/event_counter/readme.html +++ b/devel/ofm_doc/comp/base/misc/event_counter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/misc/packet_planner/readme.html b/devel/ofm_doc/comp/base/misc/packet_planner/readme.html index 118067ba5..c430c298d 100644 --- a/devel/ofm_doc/comp/base/misc/packet_planner/readme.html +++ b/devel/ofm_doc/comp/base/misc/packet_planner/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/misc/pulse_short/readme.html b/devel/ofm_doc/comp/base/misc/pulse_short/readme.html index c0b08ea1f..0942420d2 100644 --- a/devel/ofm_doc/comp/base/misc/pulse_short/readme.html +++ b/devel/ofm_doc/comp/base/misc/pulse_short/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/misc/trans_sorter/readme.html b/devel/ofm_doc/comp/base/misc/trans_sorter/readme.html index 3e3a089e6..9121f12df 100644 --- a/devel/ofm_doc/comp/base/misc/trans_sorter/readme.html +++ b/devel/ofm_doc/comp/base/misc/trans_sorter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/base/pkg/readme.html b/devel/ofm_doc/comp/base/pkg/readme.html index 0b7d245e9..d70d62db1 100644 --- a/devel/ofm_doc/comp/base/pkg/readme.html +++ b/devel/ofm_doc/comp/base/pkg/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/ctrls/sdm_client/readme.html b/devel/ofm_doc/comp/ctrls/sdm_client/readme.html index 48ef224e6..d1d3dec2e 100644 --- a/devel/ofm_doc/comp/ctrls/sdm_client/readme.html +++ b/devel/ofm_doc/comp/ctrls/sdm_client/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/debug/data_logger/mem_logger/readme.html b/devel/ofm_doc/comp/debug/data_logger/mem_logger/readme.html index 94a61a8b5..81d94064c 100644 --- a/devel/ofm_doc/comp/debug/data_logger/mem_logger/readme.html +++ b/devel/ofm_doc/comp/debug/data_logger/mem_logger/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/debug/data_logger/readme.html b/devel/ofm_doc/comp/debug/data_logger/readme.html index b1141f47c..508fa5899 100644 --- a/devel/ofm_doc/comp/debug/data_logger/readme.html +++ b/devel/ofm_doc/comp/debug/data_logger/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/debug/histogramer/readme.html b/devel/ofm_doc/comp/debug/histogramer/readme.html index bc67bfdd2..d2594f36e 100644 --- a/devel/ofm_doc/comp/debug/histogramer/readme.html +++ b/devel/ofm_doc/comp/debug/histogramer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/debug/jtag_op_client/readme.html b/devel/ofm_doc/comp/debug/jtag_op_client/readme.html index 26d9d9182..01ae0b76d 100644 --- a/devel/ofm_doc/comp/debug/jtag_op_client/readme.html +++ b/devel/ofm_doc/comp/debug/jtag_op_client/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/debug/latency_meter/readme.html b/devel/ofm_doc/comp/debug/latency_meter/readme.html index 8e473c295..18cfadd81 100644 --- a/devel/ofm_doc/comp/debug/latency_meter/readme.html +++ b/devel/ofm_doc/comp/debug/latency_meter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/debug/mem_tester/amm_gen/readme.html b/devel/ofm_doc/comp/debug/mem_tester/amm_gen/readme.html index 0b65a4692..c391d158c 100644 --- a/devel/ofm_doc/comp/debug/mem_tester/amm_gen/readme.html +++ b/devel/ofm_doc/comp/debug/mem_tester/amm_gen/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/debug/mem_tester/amm_probe/readme.html b/devel/ofm_doc/comp/debug/mem_tester/amm_probe/readme.html index b7a7c31c5..b2f20f00c 100644 --- a/devel/ofm_doc/comp/debug/mem_tester/amm_probe/readme.html +++ b/devel/ofm_doc/comp/debug/mem_tester/amm_probe/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/debug/mem_tester/readme.html b/devel/ofm_doc/comp/debug/mem_tester/readme.html index 982eb9025..437c9309f 100644 --- a/devel/ofm_doc/comp/debug/mem_tester/readme.html +++ b/devel/ofm_doc/comp/debug/mem_tester/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/debug/mem_tester/sw/readme.html b/devel/ofm_doc/comp/debug/mem_tester/sw/readme.html index 56bab3fc7..8c818ae4d 100644 --- a/devel/ofm_doc/comp/debug/mem_tester/sw/readme.html +++ b/devel/ofm_doc/comp/debug/mem_tester/sw/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.html index 8854f786b..eceb4318c 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.html index 6aaa2a434..87379efce 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.html index ce9a1dc4a..95d168ce3 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.html index d44ccda35..e2b15f5ad 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.html index 0e85ac89a..e2634b652 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.html index e37297b5c..beb3dfaa3 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.html index db1c51822..b5851d971 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/readme.html index da3bd2036..fc13ce658 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.html index d9faaab6a..ee9943574 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.html index 7af775869..b9526d20e 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.html index cca22de3d..971ec42bc 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.html index 32d07b1ed..011a062b8 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.html index b7a21073a..4de01325d 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/readme.html index aefa3ad4d..ecde28d9a 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/readme.html index ec944c920..d6777600e 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/flu_tools/readme.html b/devel/ofm_doc/comp/flu_tools/readme.html index b8df13071..cdf8a87c7 100644 --- a/devel/ofm_doc/comp/flu_tools/readme.html +++ b/devel/ofm_doc/comp/flu_tools/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.html b/devel/ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.html index 29442bb07..30f8644f8 100644 --- a/devel/ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/debug/generator/readme.html b/devel/ofm_doc/comp/mfb_tools/debug/generator/readme.html index 7443421a2..ed5c14609 100644 --- a/devel/ofm_doc/comp/mfb_tools/debug/generator/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/debug/generator/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.html b/devel/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.html index f5543b36e..af9054bec 100644 --- a/devel/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.html b/devel/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.html index e7f210a5e..373c71fd8 100644 --- a/devel/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.html index 663e8aabc..7f8797213 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.html index 72605151e..e8c0823d4 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/dropper/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/dropper/readme.html index f3071a1ee..54f913b5d 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/dropper/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/dropper/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/enabler/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/enabler/readme.html index ae4c30b3a..00d74831a 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/enabler/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/enabler/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/frame_masker/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/frame_masker/readme.html index 56877c3df..edbfa3aa8 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/frame_masker/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/frame_masker/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.html index 0a0ea16ff..fdaf9f878 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/loopback/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/loopback/readme.html index caefc4e9f..69376cc16 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/loopback/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/loopback/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/merger/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/merger/readme.html index 9687c2d71..b874a0a73 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/merger/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/merger/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/merger_simple/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/merger_simple/readme.html index d0eb08eaa..f310d7ee7 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/merger_simple/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/merger_simple/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.html index c3bdd014d..b63b0f3b1 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.html index b9d9c9b8a..9d13f1451 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/pipe/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/pipe/readme.html index f32fa5746..463ab41c1 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/pipe/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/pipe/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.html index 9f626fc23..8a0e9d627 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.html index 3138a7b1f..b947d2c8a 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/splitter/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/splitter/readme.html index e7b4a6b2f..55c92999c 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/splitter/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/splitter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.html index 694f3c2b0..d0bce8388 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.html index 0d5625c8e..c9feb0d3c 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/flow/transformer/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/transformer/readme.html index 2537241f9..5031a27b6 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/transformer/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/transformer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.html b/devel/ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.html index 991573241..2c8f5b4c8 100644 --- a/devel/ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.html b/devel/ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.html index 9b59e6bb2..0af9b95a9 100644 --- a/devel/ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.html b/devel/ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.html index d257f9637..c55ca557c 100644 --- a/devel/ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/readme.html b/devel/ofm_doc/comp/mfb_tools/readme.html index 7abe06954..7db2e8ee8 100644 --- a/devel/ofm_doc/comp/mfb_tools/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/storage/asfifox/readme.html b/devel/ofm_doc/comp/mfb_tools/storage/asfifox/readme.html index a0919509c..20b2aab8f 100644 --- a/devel/ofm_doc/comp/mfb_tools/storage/asfifox/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/storage/asfifox/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.html b/devel/ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.html index d588c0789..bf07300bd 100644 --- a/devel/ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/storage/fifox/readme.html b/devel/ofm_doc/comp/mfb_tools/storage/fifox/readme.html index 9dfcbeea2..5a731ae3e 100644 --- a/devel/ofm_doc/comp/mfb_tools/storage/fifox/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/storage/fifox/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.html b/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.html index c0542a734..eae0325a5 100644 --- a/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.html b/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.html index b05b07bb4..c7b5f1f52 100644 --- a/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mi_tools/async/readme.html b/devel/ofm_doc/comp/mi_tools/async/readme.html index 2aa12a188..6ec6b67cb 100644 --- a/devel/ofm_doc/comp/mi_tools/async/readme.html +++ b/devel/ofm_doc/comp/mi_tools/async/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mi_tools/converters/mi2avmm/readme.html b/devel/ofm_doc/comp/mi_tools/converters/mi2avmm/readme.html index 2d1b7c2fc..3bdf42212 100644 --- a/devel/ofm_doc/comp/mi_tools/converters/mi2avmm/readme.html +++ b/devel/ofm_doc/comp/mi_tools/converters/mi2avmm/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mi_tools/converters/mi2axi4/readme.html b/devel/ofm_doc/comp/mi_tools/converters/mi2axi4/readme.html index dcb609556..52b57fd2d 100644 --- a/devel/ofm_doc/comp/mi_tools/converters/mi2axi4/readme.html +++ b/devel/ofm_doc/comp/mi_tools/converters/mi2axi4/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mi_tools/indirect_access/readme.html b/devel/ofm_doc/comp/mi_tools/indirect_access/readme.html index c56fb3839..35293a4c4 100644 --- a/devel/ofm_doc/comp/mi_tools/indirect_access/readme.html +++ b/devel/ofm_doc/comp/mi_tools/indirect_access/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mi_tools/pipe/readme.html b/devel/ofm_doc/comp/mi_tools/pipe/readme.html index 44f53806b..66ea431ab 100644 --- a/devel/ofm_doc/comp/mi_tools/pipe/readme.html +++ b/devel/ofm_doc/comp/mi_tools/pipe/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mi_tools/readme.html b/devel/ofm_doc/comp/mi_tools/readme.html index c0867a3e4..6f86dc785 100644 --- a/devel/ofm_doc/comp/mi_tools/readme.html +++ b/devel/ofm_doc/comp/mi_tools/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mi_tools/reconf/readme.html b/devel/ofm_doc/comp/mi_tools/reconf/readme.html index 4d726a6b1..4265ba874 100644 --- a/devel/ofm_doc/comp/mi_tools/reconf/readme.html +++ b/devel/ofm_doc/comp/mi_tools/reconf/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mi_tools/splitter_plus_gen/readme.html b/devel/ofm_doc/comp/mi_tools/splitter_plus_gen/readme.html index c13ccebd5..264c72394 100644 --- a/devel/ofm_doc/comp/mi_tools/splitter_plus_gen/readme.html +++ b/devel/ofm_doc/comp/mi_tools/splitter_plus_gen/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/flow/channel_router/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/channel_router/readme.html index 1d18c7a73..418b977ea 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/channel_router/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/channel_router/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/flow/demux/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/demux/readme.html index 384d62434..6203d2860 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/demux/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/demux/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/flow/discard/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/discard/readme.html index 32c6b25d3..86fa2ebcf 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/discard/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/discard/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/flow/merge_items/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/merge_items/readme.html index a450ec95d..63e344b96 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/merge_items/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/merge_items/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.html index ebbe13d15..80f013be5 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/flow/merge_streams/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/merge_streams/readme.html index 863bc7a8d..f93f560e8 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/merge_streams/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/merge_streams/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/flow/mux/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/mux/readme.html index 2982d94a5..7159a1900 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/mux/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/mux/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.html index fc0315ad4..f62a74f7b 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/flow/operation/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/operation/readme.html index 29323c94d..19abe6cf8 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/operation/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/operation/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/flow/shakedown/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/shakedown/readme.html index 44875421b..11b4e9242 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/shakedown/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/shakedown/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/readme.html b/devel/ofm_doc/comp/mvb_tools/readme.html index 8a0108591..379364258 100644 --- a/devel/ofm_doc/comp/mvb_tools/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/storage/fifox/readme.html b/devel/ofm_doc/comp/mvb_tools/storage/fifox/readme.html index e8594b1c2..5603febed 100644 --- a/devel/ofm_doc/comp/mvb_tools/storage/fifox/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/storage/fifox/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/mvb_tools/storage/lookup_table/readme.html b/devel/ofm_doc/comp/mvb_tools/storage/lookup_table/readme.html index efccd13ec..91982a19d 100644 --- a/devel/ofm_doc/comp/mvb_tools/storage/lookup_table/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/storage/lookup_table/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/nic/eth_phy/40ge/readme.html b/devel/ofm_doc/comp/nic/eth_phy/40ge/readme.html index 89a794b12..cc4de5795 100644 --- a/devel/ofm_doc/comp/nic/eth_phy/40ge/readme.html +++ b/devel/ofm_doc/comp/nic/eth_phy/40ge/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.html b/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.html index 9254c6f7a..634360c0b 100644 --- a/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.html +++ b/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.html b/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.html index a7899510a..9aa0a2343 100644 --- a/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.html +++ b/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.html b/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.html index 51522eeaa..6b466ac98 100644 --- a/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.html +++ b/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.html b/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.html index 9514ef72a..0c46d05d4 100644 --- a/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.html +++ b/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/pcie/common/readme.html b/devel/ofm_doc/comp/pcie/common/readme.html index 3eb1069db..6d7510b98 100644 --- a/devel/ofm_doc/comp/pcie/common/readme.html +++ b/devel/ofm_doc/comp/pcie/common/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/pcie/convertors/readme.html b/devel/ofm_doc/comp/pcie/convertors/readme.html index ab84166d4..9f5de5a98 100644 --- a/devel/ofm_doc/comp/pcie/convertors/readme.html +++ b/devel/ofm_doc/comp/pcie/convertors/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/pcie/logic/byte_count/readme.html b/devel/ofm_doc/comp/pcie/logic/byte_count/readme.html index 163c06e51..efb1137f7 100644 --- a/devel/ofm_doc/comp/pcie/logic/byte_count/readme.html +++ b/devel/ofm_doc/comp/pcie/logic/byte_count/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/pcie/logic/byte_en_decoder/readme.html b/devel/ofm_doc/comp/pcie/logic/byte_en_decoder/readme.html index 2a4e8dfc4..8a11cc1ad 100644 --- a/devel/ofm_doc/comp/pcie/logic/byte_en_decoder/readme.html +++ b/devel/ofm_doc/comp/pcie/logic/byte_en_decoder/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/pcie/mtc/readme.html b/devel/ofm_doc/comp/pcie/mtc/readme.html index dbce50633..cdf0a06cc 100644 --- a/devel/ofm_doc/comp/pcie/mtc/readme.html +++ b/devel/ofm_doc/comp/pcie/mtc/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/pcie/others/hdr_gen/readme.html b/devel/ofm_doc/comp/pcie/others/hdr_gen/readme.html index 3dd04878c..2dfbdd751 100644 --- a/devel/ofm_doc/comp/pcie/others/hdr_gen/readme.html +++ b/devel/ofm_doc/comp/pcie/others/hdr_gen/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.html b/devel/ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.html index 16e62c3d7..a322ab25b 100644 --- a/devel/ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.html +++ b/devel/ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/pcie/ptc/readme.html b/devel/ofm_doc/comp/pcie/ptc/readme.html index 7229cbbdb..74473200f 100644 --- a/devel/ofm_doc/comp/pcie/ptc/readme.html +++ b/devel/ofm_doc/comp/pcie/ptc/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/tsu/tsu_format_to_ns/readme.html b/devel/ofm_doc/comp/tsu/tsu_format_to_ns/readme.html index 3ca16adf7..1faa6b850 100644 --- a/devel/ofm_doc/comp/tsu/tsu_format_to_ns/readme.html +++ b/devel/ofm_doc/comp/tsu/tsu_format_to_ns/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/tsu/tsu_gen/readme.html b/devel/ofm_doc/comp/tsu/tsu_gen/readme.html index b054a14fc..1e086b919 100644 --- a/devel/ofm_doc/comp/tsu/tsu_gen/readme.html +++ b/devel/ofm_doc/comp/tsu/tsu_gen/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/axi/readme.html b/devel/ofm_doc/comp/uvm/axi/readme.html index bab44eb35..1d0d68346 100644 --- a/devel/ofm_doc/comp/uvm/axi/readme.html +++ b/devel/ofm_doc/comp/uvm/axi/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/byte_array/readme.html b/devel/ofm_doc/comp/uvm/byte_array/readme.html index 925d83ba5..3897f47ce 100644 --- a/devel/ofm_doc/comp/uvm/byte_array/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/byte_array_lii/readme.html b/devel/ofm_doc/comp/uvm/byte_array_lii/readme.html index 6ae44f3c6..f06112920 100644 --- a/devel/ofm_doc/comp/uvm/byte_array_lii/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array_lii/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/byte_array_lii_rx/readme.html b/devel/ofm_doc/comp/uvm/byte_array_lii_rx/readme.html index 65a7298e8..ebce4bdab 100644 --- a/devel/ofm_doc/comp/uvm/byte_array_lii_rx/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array_lii_rx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/byte_array_mfb/readme.html b/devel/ofm_doc/comp/uvm/byte_array_mfb/readme.html index 3276f9a6e..233d09fb9 100644 --- a/devel/ofm_doc/comp/uvm/byte_array_mfb/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array_mfb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/byte_array_mii/readme.html b/devel/ofm_doc/comp/uvm/byte_array_mii/readme.html index 9886aa52a..d26341489 100644 --- a/devel/ofm_doc/comp/uvm/byte_array_mii/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array_mii/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/byte_array_pma/readme.html b/devel/ofm_doc/comp/uvm/byte_array_pma/readme.html index 6167e9afa..f855a5305 100644 --- a/devel/ofm_doc/comp/uvm/byte_array_pma/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array_pma/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/common/readme.html b/devel/ofm_doc/comp/uvm/common/readme.html index d7c6bc2bf..46dcb2140 100644 --- a/devel/ofm_doc/comp/uvm/common/readme.html +++ b/devel/ofm_doc/comp/uvm/common/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/componets.html b/devel/ofm_doc/comp/uvm/componets.html index d8805d3e2..1c813743f 100644 --- a/devel/ofm_doc/comp/uvm/componets.html +++ b/devel/ofm_doc/comp/uvm/componets.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/intel_mac_seg/readme.html b/devel/ofm_doc/comp/uvm/intel_mac_seg/readme.html index 00061580d..e5bb4a308 100644 --- a/devel/ofm_doc/comp/uvm/intel_mac_seg/readme.html +++ b/devel/ofm_doc/comp/uvm/intel_mac_seg/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/lii/readme.html b/devel/ofm_doc/comp/uvm/lii/readme.html index 3d0874b94..2000d260d 100644 --- a/devel/ofm_doc/comp/uvm/lii/readme.html +++ b/devel/ofm_doc/comp/uvm/lii/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/lii_rx/readme.html b/devel/ofm_doc/comp/uvm/lii_rx/readme.html index 14e68f264..0ac8649fa 100644 --- a/devel/ofm_doc/comp/uvm/lii_rx/readme.html +++ b/devel/ofm_doc/comp/uvm/lii_rx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/logic_vector/readme.html b/devel/ofm_doc/comp/uvm/logic_vector/readme.html index 50330b5bb..6d5e84928 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/logic_vector_array/readme.html b/devel/ofm_doc/comp/uvm/logic_vector_array/readme.html index 2a1b9653a..0effa2d96 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector_array/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector_array/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/logic_vector_array_axi/readme.html b/devel/ofm_doc/comp/uvm/logic_vector_array_axi/readme.html index b2710d904..2e5acbce3 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector_array_axi/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector_array_axi/readme.html @@ -37,7 +37,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.html b/devel/ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.html index 526de4080..596dfdae9 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/logic_vector_array_mfb/readme.html b/devel/ofm_doc/comp/uvm/logic_vector_array_mfb/readme.html index d39603082..874663e7a 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector_array_mfb/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector_array_mfb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/logic_vector_mvb/readme.html b/devel/ofm_doc/comp/uvm/logic_vector_mvb/readme.html index 68722f02a..7a8f08bdf 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector_mvb/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector_mvb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/manual.html b/devel/ofm_doc/comp/uvm/manual.html index b17d39970..3bebf613c 100644 --- a/devel/ofm_doc/comp/uvm/manual.html +++ b/devel/ofm_doc/comp/uvm/manual.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/mfb/readme.html b/devel/ofm_doc/comp/uvm/mfb/readme.html index 99272b165..e1384e1b4 100644 --- a/devel/ofm_doc/comp/uvm/mfb/readme.html +++ b/devel/ofm_doc/comp/uvm/mfb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/mi/readme.html b/devel/ofm_doc/comp/uvm/mi/readme.html index 970549b24..c4cd1c4ba 100644 --- a/devel/ofm_doc/comp/uvm/mi/readme.html +++ b/devel/ofm_doc/comp/uvm/mi/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/mvb/readme.html b/devel/ofm_doc/comp/uvm/mvb/readme.html index f556098dd..6e9abd512 100644 --- a/devel/ofm_doc/comp/uvm/mvb/readme.html +++ b/devel/ofm_doc/comp/uvm/mvb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/pma/readme.html b/devel/ofm_doc/comp/uvm/pma/readme.html index 20c36e4e1..8a138cb60 100644 --- a/devel/ofm_doc/comp/uvm/pma/readme.html +++ b/devel/ofm_doc/comp/uvm/pma/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/reset/readme.html b/devel/ofm_doc/comp/uvm/reset/readme.html index 0aed20ada..bd0605b23 100644 --- a/devel/ofm_doc/comp/uvm/reset/readme.html +++ b/devel/ofm_doc/comp/uvm/reset/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/comp/uvm/sim_manual.html b/devel/ofm_doc/comp/uvm/sim_manual.html index 71e6ec098..c156fc6e7 100644 --- a/devel/ofm_doc/comp/uvm/sim_manual.html +++ b/devel/ofm_doc/comp/uvm/sim_manual.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/ctrls.html b/devel/ofm_doc/ctrls.html index 4f9a2212c..43526b852 100644 --- a/devel/ofm_doc/ctrls.html +++ b/devel/ofm_doc/ctrls.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/debug.html b/devel/ofm_doc/debug.html index efac95696..da883136f 100644 --- a/devel/ofm_doc/debug.html +++ b/devel/ofm_doc/debug.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/dsp.html b/devel/ofm_doc/dsp.html index cea443ba3..1a92aa924 100644 --- a/devel/ofm_doc/dsp.html +++ b/devel/ofm_doc/dsp.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/fifo.html b/devel/ofm_doc/fifo.html index 85aaecf70..942e47743 100644 --- a/devel/ofm_doc/fifo.html +++ b/devel/ofm_doc/fifo.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/fl.html b/devel/ofm_doc/fl.html index 148cff0be..21606c1b6 100644 --- a/devel/ofm_doc/fl.html +++ b/devel/ofm_doc/fl.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/flu.html b/devel/ofm_doc/flu.html index 450a10b8f..8f7e67f75 100644 --- a/devel/ofm_doc/flu.html +++ b/devel/ofm_doc/flu.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/index.html b/devel/ofm_doc/index.html index 7c1e21ced..1e4878112 100644 --- a/devel/ofm_doc/index.html +++ b/devel/ofm_doc/index.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/logic.html b/devel/ofm_doc/logic.html index 2a026d02f..51563d675 100644 --- a/devel/ofm_doc/logic.html +++ b/devel/ofm_doc/logic.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/memory.html b/devel/ofm_doc/memory.html index 6270d536b..388de9780 100644 --- a/devel/ofm_doc/memory.html +++ b/devel/ofm_doc/memory.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/mfb.html b/devel/ofm_doc/mfb.html index fe4383f76..2d87833f5 100644 --- a/devel/ofm_doc/mfb.html +++ b/devel/ofm_doc/mfb.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/mi.html b/devel/ofm_doc/mi.html index e9964fd8f..2572da193 100644 --- a/devel/ofm_doc/mi.html +++ b/devel/ofm_doc/mi.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/misc.html b/devel/ofm_doc/misc.html index 6b1f2a41e..7a93f0f94 100644 --- a/devel/ofm_doc/misc.html +++ b/devel/ofm_doc/misc.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/mvb.html b/devel/ofm_doc/mvb.html index fde74a3bd..46d99c486 100644 --- a/devel/ofm_doc/mvb.html +++ b/devel/ofm_doc/mvb.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/nic.html b/devel/ofm_doc/nic.html index a05a58d33..37e6a224e 100644 --- a/devel/ofm_doc/nic.html +++ b/devel/ofm_doc/nic.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/pcie.html b/devel/ofm_doc/pcie.html index 84ec9d175..2430af848 100644 --- a/devel/ofm_doc/pcie.html +++ b/devel/ofm_doc/pcie.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/shift.html b/devel/ofm_doc/shift.html index 190bd10e0..603f5db59 100644 --- a/devel/ofm_doc/shift.html +++ b/devel/ofm_doc/shift.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/ofm_doc/ver.html b/devel/ofm_doc/ver.html index c458814a5..93559284f 100644 --- a/devel/ofm_doc/ver.html +++ b/devel/ofm_doc/ver.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/search.html b/devel/search.html index dba17f0ee..adb9a26b4 100644 --- a/devel/search.html +++ b/devel/search.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af
diff --git a/devel/searchindex.js b/devel/searchindex.js index e60ad131e..ce3bb69c3 100644 --- a/devel/searchindex.js +++ b/devel/searchindex.js @@ -1 +1 @@ -Search.setIndex({"docnames": ["app-minimal", "index", "ndk_cards/amd/alveo-u200/readme", "ndk_cards/amd/alveo-u55c/readme", "ndk_cards/amd/vcu118/readme", "ndk_cards/bittware/ia-420f/readme", "ndk_cards/intel/dk-dev-1sdx-p/readme", "ndk_cards/intel/dk-dev-agi027res/readme", "ndk_cards/reflexces/agi-fh400g/readme", "ndk_cards/silicom/fb2cghh/readme", "ndk_cards/silicom/fb4cgg3/readme", "ndk_cards/silicom/n6010/readme", "ndk_core/doc/configuration", "ndk_core/doc/devtree", "ndk_core/doc/faq", "ndk_core/doc/how_to_start", "ndk_core/doc/terminology", "ndk_core/doc/testing", "ndk_core/intel/cocotb/README", "ndk_core/intel/doc/app", "ndk_core/intel/doc/dma", "ndk_core/intel/doc/eth", "ndk_core/intel/doc/mem", "ndk_core/intel/doc/mi", "ndk_core/intel/doc/pcie", "ndk_core/intel/doc/tsu", "ndk_core/intel/readme", "ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip", "ndk_core/intel/src/comp/network_mod/readme", "ndk_core/intel/src/comp/network_mod/uvm/readme", "ofm_doc/async", "ofm_doc/base", "ofm_doc/build/readme", "ofm_doc/comp/base/dsp/dsp_comparator/readme", "ofm_doc/comp/base/fifo/asfifox/readme", "ofm_doc/comp/base/fifo/fifox/readme", "ofm_doc/comp/base/fifo/fifox_multi/readme", "ofm_doc/comp/base/logic/barrel_shifter/readme", "ofm_doc/comp/base/logic/cnt_multi_memx/readme", "ofm_doc/comp/base/logic/h3hash/readme", "ofm_doc/comp/base/logic/n_loop_op/readme", "ofm_doc/comp/base/logic/sr_sync_latch/readme", "ofm_doc/comp/base/mem/mp_bram/readme", "ofm_doc/comp/base/mem/np_lutram/readme", "ofm_doc/comp/base/mem/sdp_bram/readme", "ofm_doc/comp/base/misc/crossbarx/readme", "ofm_doc/comp/base/misc/event_counter/readme", "ofm_doc/comp/base/misc/packet_planner/readme", "ofm_doc/comp/base/misc/pulse_short/readme", "ofm_doc/comp/base/misc/trans_sorter/readme", "ofm_doc/comp/base/pkg/readme", "ofm_doc/comp/ctrls/sdm_client/readme", "ofm_doc/comp/debug/data_logger/mem_logger/readme", "ofm_doc/comp/debug/data_logger/readme", "ofm_doc/comp/debug/histogramer/readme", "ofm_doc/comp/debug/jtag_op_client/readme", "ofm_doc/comp/debug/latency_meter/readme", "ofm_doc/comp/debug/mem_tester/amm_gen/readme", "ofm_doc/comp/debug/mem_tester/amm_probe/readme", "ofm_doc/comp/debug/mem_tester/readme", "ofm_doc/comp/debug/mem_tester/sw/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/readme", "ofm_doc/comp/dma/dma_calypte/readme", "ofm_doc/comp/flu_tools/readme", "ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme", "ofm_doc/comp/mfb_tools/debug/generator/readme", "ofm_doc/comp/mfb_tools/edit/frame_extender/readme", "ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme", "ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme", "ofm_doc/comp/mfb_tools/flow/cutter_simple/readme", "ofm_doc/comp/mfb_tools/flow/dropper/readme", "ofm_doc/comp/mfb_tools/flow/enabler/readme", "ofm_doc/comp/mfb_tools/flow/frame_masker/readme", "ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme", "ofm_doc/comp/mfb_tools/flow/loopback/readme", "ofm_doc/comp/mfb_tools/flow/merger/readme", "ofm_doc/comp/mfb_tools/flow/merger_simple/readme", "ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme", "ofm_doc/comp/mfb_tools/flow/packet_delayer/readme", "ofm_doc/comp/mfb_tools/flow/pipe/readme", "ofm_doc/comp/mfb_tools/flow/rate_limiter/readme", "ofm_doc/comp/mfb_tools/flow/reconfigurator/readme", "ofm_doc/comp/mfb_tools/flow/splitter/readme", "ofm_doc/comp/mfb_tools/flow/splitter_simple/readme", "ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme", "ofm_doc/comp/mfb_tools/flow/transformer/readme", "ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme", "ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme", "ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme", "ofm_doc/comp/mfb_tools/readme", "ofm_doc/comp/mfb_tools/storage/asfifox/readme", "ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme", "ofm_doc/comp/mfb_tools/storage/fifox/readme", "ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme", "ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme", "ofm_doc/comp/mi_tools/async/readme", "ofm_doc/comp/mi_tools/converters/mi2avmm/readme", "ofm_doc/comp/mi_tools/converters/mi2axi4/readme", "ofm_doc/comp/mi_tools/indirect_access/readme", "ofm_doc/comp/mi_tools/pipe/readme", "ofm_doc/comp/mi_tools/readme", "ofm_doc/comp/mi_tools/reconf/readme", "ofm_doc/comp/mi_tools/splitter_plus_gen/readme", "ofm_doc/comp/mvb_tools/flow/channel_router/readme", "ofm_doc/comp/mvb_tools/flow/demux/readme", "ofm_doc/comp/mvb_tools/flow/discard/readme", "ofm_doc/comp/mvb_tools/flow/merge_items/readme", "ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme", "ofm_doc/comp/mvb_tools/flow/merge_streams/readme", "ofm_doc/comp/mvb_tools/flow/mux/readme", "ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme", "ofm_doc/comp/mvb_tools/flow/operation/readme", "ofm_doc/comp/mvb_tools/flow/shakedown/readme", "ofm_doc/comp/mvb_tools/readme", "ofm_doc/comp/mvb_tools/storage/fifox/readme", "ofm_doc/comp/mvb_tools/storage/lookup_table/readme", "ofm_doc/comp/nic/eth_phy/40ge/readme", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme", "ofm_doc/comp/pcie/common/readme", "ofm_doc/comp/pcie/convertors/readme", "ofm_doc/comp/pcie/logic/byte_count/readme", "ofm_doc/comp/pcie/logic/byte_en_decoder/readme", "ofm_doc/comp/pcie/mtc/readme", "ofm_doc/comp/pcie/others/hdr_gen/readme", "ofm_doc/comp/pcie/ptc/comp/tag_manager/readme", "ofm_doc/comp/pcie/ptc/readme", "ofm_doc/comp/tsu/tsu_format_to_ns/readme", "ofm_doc/comp/tsu/tsu_gen/readme", "ofm_doc/comp/uvm/axi/readme", "ofm_doc/comp/uvm/byte_array/readme", "ofm_doc/comp/uvm/byte_array_lii/readme", "ofm_doc/comp/uvm/byte_array_lii_rx/readme", "ofm_doc/comp/uvm/byte_array_mfb/readme", "ofm_doc/comp/uvm/byte_array_mii/readme", "ofm_doc/comp/uvm/byte_array_pma/readme", "ofm_doc/comp/uvm/common/readme", "ofm_doc/comp/uvm/componets", "ofm_doc/comp/uvm/intel_mac_seg/readme", "ofm_doc/comp/uvm/lii/readme", "ofm_doc/comp/uvm/lii_rx/readme", "ofm_doc/comp/uvm/logic_vector/readme", "ofm_doc/comp/uvm/logic_vector_array/readme", "ofm_doc/comp/uvm/logic_vector_array_axi/readme", "ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme", "ofm_doc/comp/uvm/logic_vector_array_mfb/readme", "ofm_doc/comp/uvm/logic_vector_mvb/readme", "ofm_doc/comp/uvm/manual", "ofm_doc/comp/uvm/mfb/readme", "ofm_doc/comp/uvm/mi/readme", "ofm_doc/comp/uvm/mvb/readme", "ofm_doc/comp/uvm/pma/readme", "ofm_doc/comp/uvm/reset/readme", "ofm_doc/comp/uvm/sim_manual", "ofm_doc/ctrls", "ofm_doc/debug", "ofm_doc/dsp", "ofm_doc/fifo", "ofm_doc/fl", "ofm_doc/flu", "ofm_doc/index", "ofm_doc/logic", "ofm_doc/memory", "ofm_doc/mfb", "ofm_doc/mi", "ofm_doc/misc", "ofm_doc/mvb", "ofm_doc/nic", "ofm_doc/pcie", "ofm_doc/shift", "ofm_doc/ver"], "filenames": ["app-minimal.rst", "index.rst", "ndk_cards/amd/alveo-u200/readme.rst", "ndk_cards/amd/alveo-u55c/readme.rst", "ndk_cards/amd/vcu118/readme.rst", "ndk_cards/bittware/ia-420f/readme.rst", "ndk_cards/intel/dk-dev-1sdx-p/readme.rst", "ndk_cards/intel/dk-dev-agi027res/readme.rst", "ndk_cards/reflexces/agi-fh400g/readme.rst", "ndk_cards/silicom/fb2cghh/readme.rst", "ndk_cards/silicom/fb4cgg3/readme.rst", "ndk_cards/silicom/n6010/readme.rst", "ndk_core/doc/configuration.rst", "ndk_core/doc/devtree.rst", "ndk_core/doc/faq.rst", "ndk_core/doc/how_to_start.rst", "ndk_core/doc/terminology.rst", "ndk_core/doc/testing.rst", "ndk_core/intel/cocotb/README.rst", "ndk_core/intel/doc/app.rst", "ndk_core/intel/doc/dma.rst", "ndk_core/intel/doc/eth.rst", "ndk_core/intel/doc/mem.rst", "ndk_core/intel/doc/mi.rst", "ndk_core/intel/doc/pcie.rst", "ndk_core/intel/doc/tsu.rst", "ndk_core/intel/readme.rst", "ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.rst", "ndk_core/intel/src/comp/network_mod/readme.rst", "ndk_core/intel/src/comp/network_mod/uvm/readme.rst", "ofm_doc/async.rst", "ofm_doc/base.rst", "ofm_doc/build/readme.rst", "ofm_doc/comp/base/dsp/dsp_comparator/readme.rst", "ofm_doc/comp/base/fifo/asfifox/readme.rst", "ofm_doc/comp/base/fifo/fifox/readme.rst", "ofm_doc/comp/base/fifo/fifox_multi/readme.rst", "ofm_doc/comp/base/logic/barrel_shifter/readme.rst", "ofm_doc/comp/base/logic/cnt_multi_memx/readme.rst", "ofm_doc/comp/base/logic/h3hash/readme.rst", "ofm_doc/comp/base/logic/n_loop_op/readme.rst", "ofm_doc/comp/base/logic/sr_sync_latch/readme.rst", "ofm_doc/comp/base/mem/mp_bram/readme.rst", "ofm_doc/comp/base/mem/np_lutram/readme.rst", "ofm_doc/comp/base/mem/sdp_bram/readme.rst", "ofm_doc/comp/base/misc/crossbarx/readme.rst", "ofm_doc/comp/base/misc/event_counter/readme.rst", "ofm_doc/comp/base/misc/packet_planner/readme.rst", "ofm_doc/comp/base/misc/pulse_short/readme.rst", "ofm_doc/comp/base/misc/trans_sorter/readme.rst", "ofm_doc/comp/base/pkg/readme.rst", "ofm_doc/comp/ctrls/sdm_client/readme.rst", "ofm_doc/comp/debug/data_logger/mem_logger/readme.rst", "ofm_doc/comp/debug/data_logger/readme.rst", "ofm_doc/comp/debug/histogramer/readme.rst", "ofm_doc/comp/debug/jtag_op_client/readme.rst", "ofm_doc/comp/debug/latency_meter/readme.rst", "ofm_doc/comp/debug/mem_tester/amm_gen/readme.rst", "ofm_doc/comp/debug/mem_tester/amm_probe/readme.rst", "ofm_doc/comp/debug/mem_tester/readme.rst", "ofm_doc/comp/debug/mem_tester/sw/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/readme.rst", "ofm_doc/comp/dma/dma_calypte/readme.rst", "ofm_doc/comp/flu_tools/readme.rst", "ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.rst", "ofm_doc/comp/mfb_tools/debug/generator/readme.rst", "ofm_doc/comp/mfb_tools/edit/frame_extender/readme.rst", "ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.rst", "ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.rst", "ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/dropper/readme.rst", "ofm_doc/comp/mfb_tools/flow/enabler/readme.rst", "ofm_doc/comp/mfb_tools/flow/frame_masker/readme.rst", "ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.rst", "ofm_doc/comp/mfb_tools/flow/loopback/readme.rst", "ofm_doc/comp/mfb_tools/flow/merger/readme.rst", "ofm_doc/comp/mfb_tools/flow/merger_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.rst", "ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.rst", "ofm_doc/comp/mfb_tools/flow/pipe/readme.rst", "ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.rst", "ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.rst", "ofm_doc/comp/mfb_tools/flow/splitter/readme.rst", "ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.rst", "ofm_doc/comp/mfb_tools/flow/transformer/readme.rst", "ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.rst", "ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.rst", "ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.rst", "ofm_doc/comp/mfb_tools/readme.rst", "ofm_doc/comp/mfb_tools/storage/asfifox/readme.rst", "ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.rst", "ofm_doc/comp/mfb_tools/storage/fifox/readme.rst", "ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.rst", "ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.rst", "ofm_doc/comp/mi_tools/async/readme.rst", "ofm_doc/comp/mi_tools/converters/mi2avmm/readme.rst", "ofm_doc/comp/mi_tools/converters/mi2axi4/readme.rst", "ofm_doc/comp/mi_tools/indirect_access/readme.rst", "ofm_doc/comp/mi_tools/pipe/readme.rst", "ofm_doc/comp/mi_tools/readme.rst", "ofm_doc/comp/mi_tools/reconf/readme.rst", "ofm_doc/comp/mi_tools/splitter_plus_gen/readme.rst", "ofm_doc/comp/mvb_tools/flow/channel_router/readme.rst", "ofm_doc/comp/mvb_tools/flow/demux/readme.rst", "ofm_doc/comp/mvb_tools/flow/discard/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_items/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_streams/readme.rst", "ofm_doc/comp/mvb_tools/flow/mux/readme.rst", "ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.rst", "ofm_doc/comp/mvb_tools/flow/operation/readme.rst", "ofm_doc/comp/mvb_tools/flow/shakedown/readme.rst", "ofm_doc/comp/mvb_tools/readme.rst", "ofm_doc/comp/mvb_tools/storage/fifox/readme.rst", "ofm_doc/comp/mvb_tools/storage/lookup_table/readme.rst", "ofm_doc/comp/nic/eth_phy/40ge/readme.rst", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.rst", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.rst", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.rst", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.rst", "ofm_doc/comp/pcie/common/readme.rst", "ofm_doc/comp/pcie/convertors/readme.rst", "ofm_doc/comp/pcie/logic/byte_count/readme.rst", "ofm_doc/comp/pcie/logic/byte_en_decoder/readme.rst", "ofm_doc/comp/pcie/mtc/readme.rst", "ofm_doc/comp/pcie/others/hdr_gen/readme.rst", "ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.rst", "ofm_doc/comp/pcie/ptc/readme.rst", "ofm_doc/comp/tsu/tsu_format_to_ns/readme.rst", "ofm_doc/comp/tsu/tsu_gen/readme.rst", "ofm_doc/comp/uvm/axi/readme.rst", "ofm_doc/comp/uvm/byte_array/readme.rst", "ofm_doc/comp/uvm/byte_array_lii/readme.rst", "ofm_doc/comp/uvm/byte_array_lii_rx/readme.rst", "ofm_doc/comp/uvm/byte_array_mfb/readme.rst", "ofm_doc/comp/uvm/byte_array_mii/readme.rst", "ofm_doc/comp/uvm/byte_array_pma/readme.rst", "ofm_doc/comp/uvm/common/readme.rst", "ofm_doc/comp/uvm/componets.rst", "ofm_doc/comp/uvm/intel_mac_seg/readme.rst", "ofm_doc/comp/uvm/lii/readme.rst", "ofm_doc/comp/uvm/lii_rx/readme.rst", "ofm_doc/comp/uvm/logic_vector/readme.rst", "ofm_doc/comp/uvm/logic_vector_array/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_axi/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_mfb/readme.rst", "ofm_doc/comp/uvm/logic_vector_mvb/readme.rst", "ofm_doc/comp/uvm/manual.rst", "ofm_doc/comp/uvm/mfb/readme.rst", "ofm_doc/comp/uvm/mi/readme.rst", "ofm_doc/comp/uvm/mvb/readme.rst", "ofm_doc/comp/uvm/pma/readme.rst", "ofm_doc/comp/uvm/reset/readme.rst", "ofm_doc/comp/uvm/sim_manual.rst", "ofm_doc/ctrls.rst", "ofm_doc/debug.rst", "ofm_doc/dsp.rst", "ofm_doc/fifo.rst", "ofm_doc/fl.rst", "ofm_doc/flu.rst", "ofm_doc/index.rst", "ofm_doc/logic.rst", "ofm_doc/memory.rst", "ofm_doc/mfb.rst", "ofm_doc/mi.rst", "ofm_doc/misc.rst", "ofm_doc/mvb.rst", "ofm_doc/nic.rst", "ofm_doc/pcie.rst", "ofm_doc/shift.rst", "ofm_doc/ver.rst"], "titles": ["Minimal NDK application", "Documentation of Minimal NDK Application", "AMD Alveo U200", "AMD Alveo U55C", "AMD VCU118@VU9P", "Bittware IA-420F", "Intel Stratix 10 DX FPGA DK", "Intel Agilex I-Series FPGA DK", "ReflexCES XpressSX AGI-FH400G", "Silicom fb2CGhh@KU15P", "Silicom fb4CGg3@VU9P", "Silicom N6010", "Configuration files and parameters", "Device Tree", "Frequently Asked Questions", "How to start", "NDK Terminology", "NDK testing", "Cocotb toplevel simulation core", "The Application", "The DMA module", "The Network Module", "The Memory Controller", "The MI bus interconnect", "The PCIe module", "Time Stamp Unit", "NDK architecture", "F-Tile Multirate IP", "NETWORK MODULE", "BUFFER", "Asynchronous modules", "Basic Tools", "Build System", "DSP Comparator", "ASFIFOX", "FIFOX", "FIFOX Multi", "Barrel Shifter", "Multi MEMx Counter", "H3 Class Hash", "N_LOOP_OP", "Synchronous SR latch", "Multi-port BRAM", "NP LUT RAM", "Simple dual-port BRAM", "CrossbarX", "Event Counter", "Packet Planner", "Pulse short", "Transaction Sorter", "Packages", "SDM CLIENT", "Mem logger", "Data logger", "Histogramer", "JTAG-over-protocol Client", "Latency meter", "AMM_GEN", "AMM_PROBE", "DDR4 Memory Tester", "MEM_TESTER Software", "Header Insertor", "Address Manager", "PCIe Addres Generator", "Header Manager", "Input Buffer", "Software Manager", "Transaction Buffer", "RX DMA Calypte", "Channel Start/stop control", "Metadata Extractor", "Packet Dispatcher", "Transaction buffer", "Software Manager", "TX DMA Calypte", "DMA Calypte", "FLU bus specification", "Gen Loop Switch (GLS)", "MFB Generator", "MFB FRAME EXTENDER", "MFB FRAME TRIMMER", "CROSSBARX STREAM2", "MFB Cutter Simple", "MFB Dropper", "MFB Enabler", "MFB Frame Masker", "Frame Unpacker", "MFB Loopback", "MFB Merger", "MFB Merger Simple", "Metadata Insertor", "Packet Delayer", "MFB PIPE", "Rate Limiter", "MFB Reconfigurator", "MFB Splitter", "MFB Splitter Simple", "Timestamp Limiter", "MFB Trasformer", "MFB Auxiliary Signals", "Checksum Calculator", "CrossbarX Stream", "MFB specification", "MFB ASFIFOX", "Crossbarx Output Buffer", "MFB FIFOX", "MFB Packet Discard ASFIFO", "MFB PD ASFIFO SIMPLE", "MI ASYNC", "MI2AVMM", "MI2AXI4", "MI indirect access", "MI Pipe", "MI bus specification", "MI Reconfigurator", "MI Splitter Plus Gen", "MVB Channel Router", "MVB DEMUX", "MVB DISCARD", "MVB Merge Items", "SHAKEDOWN", "MVB Merge Streams", "MVB MUX", "MVB2MFB", "MVB Operation", "MVB Shakedown", "MVB Specification", "MVB FIFOX", "MVB Lookup Table", "40GE Ethernet PHY for Ultrascale+ FPGAs", "BUFFER", "RX MAC LITE", "MFB -> LBUS reconfigurator (TX LBUS)", "TX MAC LITE", "PCI_EXT_CAP", "PCIE CONVERSION UNITS", "PCIE Byte Count", "PCIe Byte Enable Decoder", "MTC (MI Transaction Controller)", "PCIE Header parsing/deparsing", "PTC Tag Manager", "PTC (PCIe Transaction Controller)", "TSU Format to ns Convertor", "TSU GEN", "AXI Agent", "Byte Array agent", "Byte Array to LII convert enviroment", "Byte Array to LII convert enviroment", "Byte_array_mfb environment", "Byte array to MII transitional environment", "Byte array to pma convert enviroment", "Common package", "Components", "Intel MAC SEG", "LII agent", "LII agent", "Logic vector agent", "Logic Vector Array agent", "logic_vector_array_axi environment", "byte array to MAC SEG", "logic_vector_array_mfb environment", "logic_vector_mvb environment", "SystemVerilog and UVM tutorial", "MFB Agent", "MI agent", "MVB agent", "PMA agent", "RESET agent", "UVM simulation", "Controllers & TSU", "Debug Tools", "DSP components", "FIFO components", "FL Tools", "FLU Tools", "OFM User Guide", "Basic logic elements", "Memory modules", "MFB Tools", "MI Tools", "Miscellaneous", "MVB Tools", "Network Tools", "PCIe Tools", "Shift registers", "UVM Verification"], "terms": {"base": [0, 1, 12, 13, 21, 22, 24, 26, 31, 32, 35, 45, 53, 54, 57, 58, 59, 62, 63, 66, 68, 74, 86, 93, 95, 102, 104, 112, 114, 115, 122, 124, 129, 132, 134, 138, 139, 140, 141, 143, 145, 148, 149, 156, 158, 160, 161, 162, 165, 172, 176, 177, 180], "i": [0, 1, 4, 5, 6, 8, 10, 13, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 30, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 170, 172, 176, 177, 180, 183], "simpl": [0, 1, 12, 14, 17, 21, 22, 32, 36, 40, 68, 70, 72, 75, 87, 88, 91, 93, 94, 102, 113, 115, 116, 125, 126, 128, 138, 144, 145, 151, 152, 156, 157, 162, 163, 165, 176, 178, 180], "exampl": [0, 1, 8, 12, 15, 16, 17, 18, 19, 21, 24, 26, 36, 37, 40, 46, 47, 49, 50, 52, 53, 59, 79, 93, 94, 97, 113, 116, 131, 133, 137, 143, 145, 148, 151, 156, 157, 158, 160, 161, 167, 170, 176], "how": [0, 1, 17, 20, 34, 35, 36, 40, 46, 48, 50, 53, 68, 74, 85, 93, 102, 105, 113, 115, 126, 127, 148, 151, 158, 160, 161, 162], "build": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 17, 18, 21, 26, 55, 93, 129, 162], "an": [0, 1, 5, 6, 7, 8, 12, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 32, 35, 36, 40, 46, 47, 50, 54, 55, 71, 74, 77, 78, 79, 85, 87, 89, 93, 94, 96, 97, 102, 106, 108, 113, 115, 128, 129, 132, 134, 138, 141, 149, 151, 154, 155, 157, 158, 162, 164, 168, 170, 176, 177, 180], "fpga": [0, 1, 2, 3, 4, 5, 8, 9, 10, 11, 12, 17, 19, 20, 21, 22, 23, 24, 26, 27, 28, 32, 33, 34, 35, 42, 44, 45, 46, 51, 55, 59, 64, 74, 77, 78, 85, 86, 91, 92, 96, 97, 100, 101, 105, 107, 108, 112, 115, 116, 119, 121, 123, 124, 127, 131, 133, 135, 138, 141, 143, 170, 172, 175, 176, 177, 180, 182], "us": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 20, 22, 23, 24, 25, 26, 27, 28, 30, 33, 34, 35, 36, 37, 38, 39, 40, 42, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 64, 66, 67, 68, 75, 76, 78, 79, 81, 84, 85, 87, 88, 91, 93, 94, 97, 100, 101, 102, 103, 105, 106, 108, 109, 112, 113, 114, 116, 120, 121, 125, 126, 128, 129, 131, 132, 133, 134, 136, 138, 140, 141, 142, 143, 144, 145, 146, 147, 149, 150, 151, 152, 154, 155, 156, 157, 162, 163, 164, 165, 166, 167, 171, 172, 173, 174, 176, 177, 178, 179, 180, 181, 182, 183], "It": [0, 1, 12, 13, 19, 21, 23, 26, 28, 30, 32, 46, 51, 55, 57, 66, 73, 77, 79, 81, 85, 86, 89, 91, 93, 94, 96, 103, 104, 113, 115, 116, 123, 129, 131, 134, 138, 140, 141, 142, 144, 148, 149, 157, 158, 160, 161, 162, 164, 168, 172, 176, 177, 180], "can": [0, 1, 2, 3, 4, 5, 8, 13, 15, 16, 17, 19, 20, 21, 23, 24, 25, 26, 27, 30, 32, 33, 34, 35, 36, 37, 39, 40, 41, 45, 46, 47, 48, 49, 52, 53, 54, 55, 56, 57, 59, 60, 64, 65, 69, 70, 72, 74, 75, 79, 81, 84, 85, 86, 89, 91, 93, 94, 97, 100, 101, 102, 103, 106, 108, 111, 112, 113, 114, 115, 116, 119, 120, 121, 124, 125, 126, 128, 129, 131, 132, 133, 140, 141, 142, 145, 148, 149, 151, 156, 157, 158, 160, 161, 162, 164, 167, 168, 172, 176, 180], "also": [0, 1, 5, 6, 7, 10, 12, 13, 17, 19, 20, 21, 23, 24, 26, 27, 32, 33, 34, 36, 37, 40, 44, 47, 52, 53, 55, 59, 65, 66, 76, 85, 86, 93, 94, 101, 104, 108, 111, 113, 115, 126, 129, 131, 132, 140, 141, 146, 147, 148, 149, 150, 151, 158, 160, 162, 165, 176, 177, 183], "start": [0, 1, 5, 8, 11, 12, 17, 19, 24, 32, 45, 46, 47, 55, 56, 64, 73, 74, 76, 77, 78, 81, 84, 85, 86, 89, 93, 94, 102, 106, 115, 123, 126, 131, 132, 133, 135, 141, 143, 146, 147, 149, 150, 151, 154, 155, 162, 164, 166, 168], "point": [0, 1, 23, 45, 74, 86, 102, 106, 140], "your": [0, 1, 12, 14, 15, 17, 18, 19, 40, 52, 55, 59, 93, 97, 120, 151, 168], "doe": [0, 1, 13, 15, 19, 24, 28, 32, 34, 40, 49, 51, 70, 76, 78, 80, 85, 86, 93, 94, 102, 109, 113, 114, 120, 125, 131, 132, 133, 138, 142, 145, 156, 157, 162], "process": [0, 1, 12, 19, 23, 32, 40, 45, 47, 49, 50, 54, 70, 85, 86, 93, 102, 106, 114, 126, 132, 133, 135, 138, 141, 148, 151, 157, 158, 160, 161, 162, 167, 180], "network": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 15, 16, 17, 19, 25, 26, 50, 100, 116, 131, 162, 175], "packet": [0, 1, 16, 17, 20, 25, 26, 28, 61, 63, 64, 65, 66, 67, 68, 69, 73, 74, 75, 76, 77, 78, 81, 82, 83, 84, 85, 86, 93, 95, 96, 97, 100, 101, 104, 130, 131, 133, 135, 145, 148, 151, 156, 157, 158, 160, 162, 168, 178, 180, 182], "ani": [0, 1, 13, 15, 17, 32, 35, 39, 40, 45, 47, 49, 53, 80, 82, 83, 84, 85, 90, 92, 93, 94, 102, 103, 105, 111, 113, 114, 115, 117, 126, 131, 148, 149, 158, 160, 161, 162, 167], "wai": [0, 1, 12, 13, 21, 40, 41, 45, 46, 47, 86, 90, 93, 94, 106, 114, 115, 131, 132, 140, 141, 145, 150, 154, 155, 156, 166, 168], "onli": [0, 1, 5, 6, 7, 10, 12, 13, 14, 15, 19, 20, 21, 22, 24, 30, 32, 33, 34, 35, 36, 40, 42, 44, 45, 46, 47, 48, 49, 50, 53, 55, 59, 62, 67, 68, 74, 77, 78, 79, 84, 85, 86, 88, 91, 93, 94, 96, 97, 101, 102, 105, 106, 108, 109, 112, 113, 114, 115, 116, 124, 126, 127, 128, 129, 131, 132, 133, 134, 135, 138, 139, 140, 141, 143, 144, 145, 146, 147, 150, 151, 154, 155, 156, 157, 158, 162, 163, 164, 165, 166, 168, 172, 176, 177, 180, 185], "send": [0, 1, 12, 17, 19, 23, 37, 40, 45, 49, 51, 59, 74, 75, 78, 79, 84, 86, 102, 104, 106, 111, 116, 124, 126, 130, 135, 138, 141, 144, 146, 147, 148, 149, 150, 151, 158, 160, 161, 162, 163, 164, 166, 167, 168], "receiv": [0, 1, 14, 17, 24, 26, 28, 32, 46, 47, 52, 57, 58, 59, 62, 63, 68, 69, 74, 86, 96, 102, 104, 113, 116, 117, 119, 122, 124, 126, 129, 131, 132, 135, 141, 143, 144, 162, 164, 172, 180, 182], "them": [0, 1, 12, 13, 15, 19, 21, 22, 24, 27, 40, 46, 49, 53, 65, 85, 86, 90, 93, 94, 101, 106, 113, 115, 126, 129, 130, 132, 138, 140, 141, 146, 147, 148, 149, 157, 158, 160, 161, 162, 164, 166, 168], "If": [0, 1, 13, 14, 15, 17, 19, 20, 21, 24, 27, 32, 34, 42, 44, 46, 47, 49, 53, 59, 63, 71, 84, 85, 89, 93, 94, 101, 103, 106, 113, 115, 122, 125, 131, 133, 138, 140, 146, 147, 151, 162, 164, 165], "dma": [0, 1, 2, 4, 15, 17, 23, 24, 26, 62, 64, 66, 67, 69, 70, 71, 72, 73, 77, 97, 116, 140, 141, 183], "ip": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 17, 21, 22, 23, 28, 51, 55, 57, 59, 60, 75, 129, 131, 133, 138, 140, 141, 180, 183], "enabl": [0, 1, 8, 14, 17, 19, 20, 21, 24, 25, 32, 33, 34, 35, 36, 37, 39, 40, 42, 45, 46, 47, 48, 51, 53, 55, 59, 70, 75, 77, 78, 79, 80, 81, 82, 83, 85, 87, 88, 89, 90, 95, 97, 100, 101, 103, 106, 108, 109, 113, 114, 115, 116, 119, 120, 121, 124, 131, 133, 135, 136, 138, 139, 141, 142, 143, 149, 154, 155, 162, 164, 176, 177, 178, 183], "see": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 17, 19, 20, 21, 22, 32, 35, 36, 40, 44, 45, 46, 50, 51, 53, 77, 91, 93, 94, 97, 101, 103, 105, 108, 109, 115, 116, 123, 126, 129, 131, 132, 133, 135, 141, 143, 145, 156, 157, 158, 160, 161, 162, 163, 168], "modul": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 15, 16, 22, 23, 25, 26, 31, 50, 52, 53, 55, 63, 66, 68, 75, 76, 77, 81, 87, 116, 131, 133, 138, 141, 143, 169, 175, 180], "chapter": [0, 1, 12, 13, 15, 16, 17, 19, 20, 31, 131, 133, 169, 170, 173, 174, 178, 179, 181, 182, 183], "forward": [0, 1, 20, 21, 24, 86, 93, 106, 131, 133], "from": [0, 1, 12, 13, 14, 15, 16, 17, 20, 22, 23, 24, 25, 26, 27, 28, 32, 36, 39, 40, 41, 42, 44, 45, 46, 47, 49, 51, 52, 53, 55, 57, 58, 59, 60, 66, 67, 68, 69, 70, 71, 73, 74, 75, 77, 82, 84, 85, 86, 87, 89, 90, 91, 93, 94, 97, 98, 100, 101, 102, 106, 112, 113, 115, 116, 119, 124, 125, 126, 128, 129, 131, 132, 133, 134, 136, 138, 140, 141, 143, 144, 145, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 162, 163, 164, 165, 166, 168, 176, 177, 180], "comput": [0, 1, 39, 86, 141], "top": [0, 12, 13, 21, 22, 26, 32, 55, 75, 97, 152, 154, 155, 160], "level": [0, 12, 13, 21, 22, 26, 28, 32, 55, 74, 75, 85, 144, 145, 148, 149, 150, 152, 156, 157, 159, 160, 161, 163, 167], "provid": [0, 12, 15, 19, 21, 23, 24, 26, 32, 40, 41, 42, 45, 47, 53, 55, 66, 68, 73, 74, 87, 93, 101, 102, 103, 108, 125, 126, 129, 135, 136, 138, 151, 162, 171], "ethernet": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 17, 21, 23, 25, 26, 27, 28, 50, 77, 78, 116, 131, 133, 154, 155, 180, 182], "configur": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 15, 19, 21, 25, 30, 46, 51, 53, 55, 59, 61, 66, 73, 77, 78, 82, 84, 85, 94, 98, 99, 113, 116, 126, 128, 129, 131, 132, 133, 134, 135, 138, 143, 144, 146, 147, 150, 152, 154, 155, 163, 166, 167, 175], "bu": [0, 13, 17, 19, 20, 21, 22, 24, 26, 41, 45, 46, 51, 52, 53, 65, 66, 67, 68, 69, 72, 73, 74, 75, 81, 82, 87, 88, 92, 94, 95, 99, 102, 108, 109, 114, 115, 116, 117, 122, 123, 126, 128, 129, 131, 133, 135, 138, 139, 141, 143, 149, 152, 173, 174, 178, 179, 180, 181], "connect": [0, 2, 3, 4, 5, 6, 7, 8, 13, 15, 17, 19, 20, 21, 24, 26, 34, 35, 40, 41, 45, 47, 48, 55, 57, 59, 66, 75, 76, 77, 85, 94, 97, 109, 113, 114, 115, 129, 131, 133, 134, 141, 145, 146, 147, 148, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 164, 165, 166, 167, 176, 180], "individu": [0, 13, 19, 20, 21, 23, 24, 27, 30, 32, 86, 88, 91, 97, 113, 131, 162], "app": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 15, 17, 19, 22, 23, 55], "subcor": 0, "One": [0, 32, 39, 57, 70, 94, 96, 122, 124, 127, 144, 148, 160, 162, 165, 176], "independ": [0, 12, 16, 19, 21, 32, 40, 44, 45, 47, 86, 89, 93, 116, 121, 125, 140, 141, 154, 155, 162, 172], "instanti": [0, 13, 17, 19, 20, 21, 22, 32, 55, 124, 149, 162], "each": [0, 12, 13, 14, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 28, 32, 36, 40, 42, 45, 47, 50, 53, 54, 55, 59, 67, 72, 73, 74, 77, 82, 83, 84, 85, 86, 88, 91, 93, 94, 95, 96, 97, 99, 100, 102, 104, 106, 107, 111, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 126, 129, 131, 132, 133, 134, 135, 136, 140, 141, 151, 162, 165, 168, 172, 176, 180], "stream": [0, 17, 19, 20, 21, 24, 28, 45, 47, 75, 77, 81, 84, 86, 88, 89, 90, 93, 97, 100, 119, 129, 131, 133, 151, 162, 178, 181], "ar": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 31, 32, 33, 34, 35, 36, 39, 40, 41, 42, 44, 45, 46, 47, 49, 50, 51, 52, 54, 55, 57, 61, 62, 64, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 82, 84, 85, 86, 88, 89, 90, 91, 93, 94, 97, 98, 100, 101, 102, 108, 109, 111, 113, 115, 116, 117, 120, 123, 124, 126, 129, 131, 132, 133, 134, 135, 137, 139, 140, 141, 143, 148, 149, 150, 151, 154, 155, 158, 160, 161, 162, 164, 165, 166, 168, 169, 170, 173, 174, 176, 177, 178, 179, 180, 181, 182, 183], "implement": [0, 12, 13, 17, 19, 20, 21, 23, 24, 25, 33, 34, 35, 38, 40, 42, 44, 46, 55, 64, 66, 80, 86, 89, 94, 102, 103, 105, 108, 109, 112, 124, 125, 127, 128, 129, 131, 133, 141, 149, 151, 162, 164, 172, 175, 176, 177, 180], "mfb": [0, 19, 20, 21, 24, 28, 46, 61, 65, 67, 68, 69, 70, 71, 72, 74, 75, 77, 81, 86, 90, 93, 97, 100, 101, 104, 123, 126, 131, 133, 135, 138, 140, 141, 145, 148, 152, 156, 157, 160, 162, 181, 182], "buse": [0, 19, 20, 21, 24, 30, 112, 126], "mvb": [0, 19, 20, 21, 24, 35, 47, 61, 64, 74, 77, 79, 81, 86, 88, 90, 95, 100, 104, 120, 123, 131, 140, 141, 152, 161, 162], "block": [0, 12, 19, 20, 21, 24, 26, 33, 37, 42, 44, 54, 55, 65, 67, 68, 72, 74, 75, 77, 78, 79, 81, 87, 88, 89, 93, 94, 95, 96, 99, 100, 102, 124, 129, 131, 132, 133, 135, 138, 150, 157, 162, 165, 166, 172, 176], "diagram": [0, 8, 12, 19, 20, 21, 24, 26, 77, 94, 132, 138], "below": [0, 2, 3, 4, 8, 12, 15, 17, 19, 20, 21, 23, 24, 25, 32, 47, 50, 55, 86, 93, 97, 113, 115, 126, 135, 140, 148, 149, 151, 158, 160, 161, 162, 165, 166], "show": [0, 8, 12, 17, 21, 26, 40, 59, 85, 102, 113, 115, 126, 132, 144, 145, 148, 151, 156, 157, 158, 160, 161, 162, 163, 168], "In": [0, 13, 16, 17, 19, 21, 22, 24, 32, 36, 40, 41, 45, 51, 59, 64, 85, 93, 94, 97, 101, 102, 109, 113, 114, 115, 116, 126, 132, 133, 140, 141, 148, 149, 151, 154, 155, 158, 160, 161, 162, 165, 167, 168], "case": [0, 12, 13, 15, 17, 19, 22, 24, 27, 32, 33, 34, 36, 40, 41, 47, 49, 64, 85, 93, 94, 97, 102, 111, 113, 114, 115, 125, 126, 131, 132, 133, 139, 141, 149, 162, 168], "just": [0, 12, 15, 19, 21, 42, 59, 85, 93, 109, 115, 149, 162, 164, 165], "one": [0, 12, 16, 19, 20, 21, 24, 26, 30, 32, 33, 35, 37, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 54, 55, 57, 59, 62, 63, 67, 73, 76, 83, 85, 86, 88, 89, 90, 93, 94, 95, 96, 97, 98, 102, 106, 108, 109, 111, 113, 115, 117, 119, 121, 122, 124, 125, 126, 130, 131, 132, 133, 138, 140, 141, 143, 144, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 164, 165, 167, 168, 172, 176, 177, 178, 180, 181], "number": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 16, 17, 19, 20, 21, 24, 25, 27, 28, 33, 34, 35, 36, 38, 40, 42, 44, 45, 46, 47, 50, 52, 53, 54, 55, 56, 58, 59, 60, 61, 62, 63, 64, 65, 66, 68, 69, 72, 73, 74, 75, 77, 78, 79, 81, 82, 86, 87, 88, 89, 91, 93, 94, 95, 96, 97, 98, 100, 101, 102, 103, 104, 105, 107, 109, 111, 113, 115, 116, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 131, 132, 133, 134, 136, 138, 139, 140, 142, 143, 145, 146, 147, 149, 151, 156, 157, 162, 166, 167, 168, 176, 177], "eth": [0, 13, 14, 15, 17, 19, 21, 28, 77, 116, 131, 133, 151], "more": [0, 2, 3, 4, 12, 14, 15, 16, 17, 19, 21, 24, 26, 30, 32, 35, 40, 44, 45, 47, 56, 59, 70, 76, 84, 85, 86, 93, 94, 101, 102, 103, 105, 109, 111, 113, 115, 120, 121, 129, 131, 134, 141, 143, 149, 154, 155, 158, 160, 161, 162, 163, 164, 167, 168], "than": [0, 12, 14, 21, 24, 26, 30, 32, 33, 34, 45, 53, 57, 59, 77, 81, 85, 86, 93, 94, 102, 115, 124, 131, 133, 149, 162, 165, 176], "merger": [0, 21, 141, 178], "chan": [0, 116], "mod": [0, 162, 176], "split": [0, 19, 21, 32, 65, 94, 95, 96, 97, 151], "merg": [0, 21, 68, 74, 81, 88, 89, 94, 97, 120, 125, 146, 147, 151, 162, 181], "channel": [0, 12, 15, 17, 19, 20, 21, 27, 28, 38, 50, 61, 62, 63, 64, 66, 68, 70, 71, 72, 73, 74, 75, 77, 78, 97, 102, 104, 113, 126, 149, 151, 162, 172, 176, 181], "thi": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 23, 24, 25, 27, 30, 31, 32, 33, 35, 36, 39, 40, 41, 42, 45, 46, 47, 48, 49, 51, 53, 55, 57, 59, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 82, 83, 84, 85, 86, 87, 88, 89, 93, 94, 96, 97, 98, 100, 101, 102, 103, 104, 105, 106, 109, 110, 111, 113, 114, 115, 116, 117, 119, 120, 124, 125, 126, 128, 131, 132, 133, 135, 136, 137, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185], "avail": [0, 15, 17, 19, 21, 23, 24, 25, 26, 32, 34, 39, 47, 51, 53, 59, 71, 78, 85, 93, 97, 106, 116, 129, 131, 133, 140, 141, 143, 162], "within": [0, 13, 14, 32, 45, 66, 68, 69, 72, 73, 86, 91, 93, 94, 97, 100, 101, 102, 126, 151, 154, 155, 175], "singl": [0, 8, 19, 24, 30, 32, 36, 37, 46, 47, 49, 69, 86, 89, 93, 94, 96, 97, 102, 106, 115, 125, 126, 132, 135, 138, 154, 155, 157, 162, 177], "evenli": [0, 19, 21, 47, 126], "divid": [0, 20, 23, 24, 59, 93, 94, 102, 113, 116, 157, 162, 168], "between": [0, 17, 21, 23, 26, 32, 40, 45, 46, 47, 51, 52, 55, 56, 57, 59, 76, 77, 81, 86, 91, 93, 94, 97, 101, 102, 103, 108, 109, 115, 121, 125, 126, 129, 140, 141, 145, 148, 149, 151, 156, 157, 158, 160, 161, 162, 164, 166, 176, 180], "all": [0, 12, 13, 14, 15, 16, 19, 21, 24, 27, 28, 32, 36, 40, 45, 46, 47, 49, 50, 53, 55, 57, 59, 60, 69, 70, 71, 72, 73, 76, 77, 78, 84, 85, 86, 87, 90, 93, 94, 95, 97, 101, 102, 106, 113, 114, 115, 116, 117, 126, 129, 131, 133, 134, 135, 140, 141, 142, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 162, 164, 165, 166, 167, 168, 176], "tx": [0, 15, 16, 17, 19, 21, 28, 37, 50, 61, 73, 75, 77, 79, 81, 84, 85, 86, 87, 88, 89, 90, 91, 93, 94, 95, 96, 97, 98, 100, 101, 103, 105, 107, 114, 116, 117, 118, 119, 121, 122, 124, 125, 131, 144, 148, 149, 154, 155, 158, 160, 161, 162, 163, 165, 182], "direct": [0, 16, 23, 24, 32, 37, 45, 51, 74, 75, 76, 77, 102, 113, 114, 126, 132, 148, 158, 160, 161, 162, 165, 176], "static": [0, 19, 20], "map": [0, 17, 19, 20, 21, 32, 52, 53, 54, 56, 59, 109, 112, 113, 129, 134, 140, 141, 151], "accord": [0, 12, 13, 21, 24, 28, 34, 42, 44, 64, 69, 70, 85, 86, 93, 96, 97, 101, 102, 108, 109, 113, 115, 116, 126, 129, 131, 132, 133, 162, 180], "msb": [0, 93, 102, 115, 126, 132], "For": [0, 2, 3, 4, 12, 15, 16, 17, 19, 21, 26, 30, 32, 36, 40, 42, 45, 46, 47, 59, 60, 70, 71, 82, 84, 93, 94, 102, 103, 105, 106, 113, 115, 117, 122, 129, 131, 132, 133, 134, 135, 137, 139, 140, 141, 144, 146, 147, 148, 151, 158, 160, 161, 162, 163, 165, 167, 168, 170], "4": [0, 12, 13, 15, 16, 17, 19, 20, 27, 28, 36, 40, 46, 47, 50, 51, 53, 57, 58, 59, 61, 64, 65, 67, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 88, 92, 93, 96, 99, 100, 101, 102, 103, 104, 105, 107, 113, 114, 115, 116, 118, 119, 120, 121, 123, 125, 126, 127, 128, 129, 131, 133, 135, 136, 139], "32": [0, 17, 19, 21, 24, 25, 28, 34, 35, 41, 46, 47, 50, 52, 53, 59, 61, 64, 66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 81, 87, 88, 90, 93, 94, 95, 97, 98, 102, 105, 108, 109, 110, 111, 112, 113, 115, 116, 118, 119, 120, 121, 124, 127, 128, 129, 131, 135, 138, 139, 142, 143, 151, 154, 155, 166, 168, 180], "2": [0, 2, 4, 9, 10, 12, 15, 17, 19, 24, 27, 28, 33, 34, 35, 36, 40, 41, 42, 45, 46, 47, 48, 50, 51, 53, 54, 57, 58, 59, 61, 63, 64, 66, 68, 69, 71, 73, 74, 75, 76, 77, 78, 79, 81, 82, 83, 85, 86, 88, 89, 90, 91, 93, 94, 95, 96, 97, 98, 100, 101, 103, 104, 107, 108, 109, 110, 112, 113, 114, 116, 117, 121, 122, 125, 129, 130, 131, 133, 135, 136, 138, 139, 141, 142, 143, 154, 155, 162, 165, 166, 167, 176, 177], "bit": [0, 15, 17, 19, 21, 23, 24, 25, 30, 33, 34, 35, 36, 37, 40, 41, 42, 44, 45, 46, 48, 49, 50, 51, 53, 55, 57, 58, 59, 60, 62, 63, 64, 68, 70, 74, 75, 76, 77, 78, 79, 81, 82, 86, 87, 88, 89, 91, 93, 94, 95, 96, 97, 100, 101, 102, 108, 109, 110, 111, 113, 114, 116, 118, 119, 120, 121, 123, 126, 129, 131, 133, 134, 135, 138, 139, 142, 143, 154, 155, 162, 165, 166, 167, 176, 180], "taken": [0, 47, 106, 132], "3": [0, 8, 12, 17, 19, 20, 24, 27, 28, 35, 40, 41, 43, 46, 49, 53, 55, 57, 58, 59, 61, 64, 76, 78, 85, 93, 94, 102, 109, 110, 111, 113, 114, 125, 126, 129, 131, 133, 134, 137, 138, 139, 143], "so": [0, 12, 13, 17, 21, 27, 32, 36, 40, 41, 45, 47, 51, 85, 86, 93, 96, 97, 102, 106, 111, 113, 115, 132, 162, 166, 168, 180], "0": [0, 8, 11, 13, 15, 17, 19, 20, 21, 24, 25, 27, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 142, 143, 144, 149, 151, 154, 155, 156, 157, 162, 164, 165, 167, 177], "7": [0, 17, 19, 21, 40, 51, 52, 53, 58, 59, 76, 78, 81, 100, 115, 116, 129, 131, 133, 138, 139, 176, 177], "would": [0, 12, 16, 46, 85, 94, 115, 131, 132, 162, 164], "rout": [0, 24, 45, 50, 115, 116, 129], "8": [0, 12, 13, 15, 16, 17, 19, 21, 24, 28, 38, 42, 44, 51, 52, 53, 58, 59, 61, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 107, 108, 109, 110, 112, 113, 114, 115, 116, 123, 126, 127, 128, 129, 131, 132, 133, 135, 138, 139, 143, 154, 155, 162, 164, 168], "15": [0, 19, 21, 50, 53, 78, 116, 131, 143], "1": [0, 5, 7, 8, 12, 17, 19, 24, 25, 28, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 110, 112, 113, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 130, 131, 133, 135, 136, 138, 139, 141, 142, 143, 144, 150, 151, 154, 155, 156, 157, 162, 164, 165, 166, 167, 168, 176, 177], "etc": [0, 13, 21, 24, 31, 76, 93, 113, 125, 157, 162], "rx": [0, 14, 15, 16, 17, 19, 21, 28, 32, 50, 61, 66, 74, 75, 77, 79, 81, 85, 86, 87, 88, 89, 90, 91, 93, 94, 95, 96, 97, 98, 100, 101, 103, 105, 107, 114, 116, 117, 118, 120, 121, 122, 124, 125, 133, 144, 149, 152, 162, 163, 165, 182], "again": [0, 17, 19, 85, 89, 93, 113, 140], "user": [0, 1, 6, 7, 8, 13, 19, 20, 21, 22, 24, 26, 27, 28, 32, 33, 36, 40, 45, 46, 47, 49, 51, 52, 53, 59, 68, 70, 71, 74, 75, 77, 78, 79, 80, 81, 85, 86, 93, 94, 97, 106, 113, 115, 116, 123, 135, 139, 149, 162, 164, 167, 168, 172, 177], "perform": [0, 17, 32, 36, 40, 45, 47, 51, 53, 59, 94, 98, 126, 129, 131, 132, 133, 134, 143, 162, 176, 180], "router": [0, 181], "By": [0, 24, 77, 93, 133], "default": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 19, 20, 24, 25, 27, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 48, 52, 53, 54, 55, 56, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 131, 133, 135, 136, 138, 139, 142, 143, 148, 154, 155, 158, 160, 161, 162, 164, 166, 167, 168, 176], "ha": [0, 2, 3, 4, 12, 13, 14, 15, 19, 20, 21, 23, 30, 32, 35, 36, 39, 40, 41, 46, 47, 49, 57, 59, 61, 62, 71, 72, 73, 74, 76, 85, 86, 88, 93, 94, 97, 101, 102, 106, 113, 114, 115, 119, 126, 131, 132, 135, 140, 141, 145, 146, 147, 148, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 164, 166, 168, 176], "portion": 0, "which": [0, 12, 13, 14, 16, 19, 21, 23, 24, 27, 30, 32, 33, 39, 40, 45, 46, 47, 49, 55, 59, 60, 64, 65, 67, 68, 69, 71, 72, 73, 74, 76, 78, 82, 84, 85, 86, 91, 93, 94, 97, 101, 102, 106, 111, 113, 115, 117, 122, 124, 126, 131, 132, 133, 140, 141, 144, 145, 146, 147, 148, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 163, 164, 165, 166, 167, 168, 172, 177, 180], "And": [0, 12, 85, 94, 165], "state": [0, 19, 41, 59, 60, 68, 69, 91, 93, 97, 108, 113, 115, 138, 143, 148, 150, 158, 160, 161, 162, 166, 167, 172, 176], "round": [0, 36, 46, 63, 78, 86, 94, 114, 116, 180], "robin": [0, 78, 116, 180], "mode": [0, 8, 12, 17, 19, 20, 21, 24, 28, 30, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 46, 48, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 140, 142, 143, 154, 155, 162, 164, 177, 180], "contain": [0, 8, 12, 13, 17, 19, 20, 21, 22, 24, 25, 26, 32, 40, 45, 46, 47, 53, 59, 65, 70, 73, 77, 78, 85, 86, 88, 94, 95, 102, 104, 106, 108, 115, 117, 126, 128, 131, 133, 136, 139, 141, 143, 144, 145, 148, 149, 151, 154, 155, 156, 157, 158, 160, 161, 162, 164, 166, 167, 168, 172, 173, 174, 175, 176, 178, 179, 181, 185], "extern": [0, 14, 17, 19, 22, 25, 26, 51, 57, 59, 60, 97, 120, 129, 143, 170], "control": [0, 13, 15, 16, 17, 19, 21, 23, 26, 28, 36, 46, 48, 66, 73, 74, 78, 87, 93, 113, 116, 129, 131, 133, 143, 144, 149, 162, 163, 166, 168, 180, 183], "These": [0, 12, 19, 20, 21, 23, 25, 27, 32, 45, 76, 77, 85, 86, 93, 94, 102, 109, 113, 115, 126, 135, 141, 149, 151, 162], "make": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 18, 21, 27, 32, 47, 53, 55, 60, 86, 93, 102, 113, 115, 129, 131, 140, 149, 162], "easi": [0, 8, 14, 17, 23, 150, 154, 155, 166], "test": [0, 2, 3, 4, 15, 22, 23, 28, 35, 59, 60, 74, 75, 85, 125, 130, 135, 148, 151, 158, 160, 161, 168, 170], "oper": [0, 13, 15, 32, 86, 94, 115, 129, 131, 133, 140, 162, 176, 181], "measur": [0, 17, 22, 46, 52, 56, 58, 59, 162], "properti": [0, 13, 22, 39, 109, 145, 156, 157], "throughput": [0, 1, 14, 17, 20, 21, 22, 26, 28, 30, 45, 47, 49, 88, 93, 94, 101, 102, 141, 148, 158, 160, 161], "latenc": [0, 14, 20, 22, 24, 33, 36, 37, 38, 39, 40, 45, 52, 58, 59, 75, 85, 94, 109, 113, 124, 154, 155, 170, 176, 177], "avalon": [0, 19, 21, 22, 24, 51, 59, 109], "mm": [0, 19, 22, 51, 109], "access": [0, 12, 13, 22, 23, 26, 40, 46, 51, 54, 55, 59, 66, 68, 73, 75, 78, 93, 113, 131, 133, 134, 143, 162, 179, 180], "interfac": [0, 2, 3, 4, 13, 15, 16, 17, 22, 24, 26, 28, 34, 35, 38, 40, 45, 46, 47, 49, 51, 52, 53, 54, 57, 59, 61, 62, 63, 64, 66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 81, 82, 83, 84, 85, 87, 88, 89, 92, 93, 94, 95, 96, 97, 98, 99, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 120, 122, 124, 125, 128, 131, 132, 133, 135, 138, 139, 140, 141, 143, 144, 145, 148, 149, 151, 152, 156, 157, 158, 160, 161, 163, 164, 167, 168, 180, 183], "specif": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 19, 21, 22, 23, 24, 30, 32, 40, 48, 49, 53, 56, 59, 61, 62, 64, 69, 73, 86, 93, 97, 129, 131, 132, 134, 135, 141, 149, 168, 172, 173, 174, 177, 178, 179, 180, 181], "run": [0, 8, 12, 13, 16, 17, 18, 20, 24, 28, 32, 40, 45, 46, 55, 59, 60, 69, 93, 103, 104, 107, 129, 144, 145, 148, 149, 156, 157, 158, 160, 161, 163, 164, 167, 168], "rpm": [0, 15, 55], "packag": [0, 8, 13, 15, 18, 19, 21, 23, 32, 52, 53, 55, 59, 129, 131, 134, 144, 145, 148, 152, 156, 157, 158, 160, 163, 164, 167], "python3": [0, 17, 52, 53, 59, 60], "nfb": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 15, 17, 19, 21, 23, 25, 52, 53, 55, 59], "requir": [0, 5, 6, 7, 8, 12, 14, 15, 16, 17, 18, 21, 24, 28, 30, 32, 35, 36, 40, 46, 57, 85, 88, 93, 94, 101, 114, 115, 119, 123, 124, 131, 132, 133, 140, 141, 144, 148, 151, 158, 160, 161, 162, 163, 167, 176, 177], "you": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 17, 19, 20, 21, 24, 27, 36, 37, 40, 45, 47, 48, 51, 52, 53, 55, 59, 63, 93, 94, 97, 111, 113, 115, 116, 120, 131, 133, 144, 148, 151, 158, 160, 161, 162, 163, 167, 168, 170, 176], "obtain": [0, 12, 17, 51, 102, 134], "cesnet": [0, 8, 12, 13, 14, 55, 175], "framework": [0, 5, 8, 11, 13, 14, 55, 59, 79, 162], "copr": [0, 55], "repositori": [0, 12, 13, 14, 31, 32, 55, 131, 133, 169, 170, 173, 174, 175, 178, 179, 181, 182, 183], "instal": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 15, 18, 52, 53, 55, 59], "data_logg": [0, 52, 53, 59], "python": [0, 8, 17, 18, 27, 52, 53, 59, 60, 93], "sourc": [0, 1, 12, 13, 15, 19, 20, 21, 25, 32, 45, 50, 55, 76, 78, 91, 92, 97, 101, 116, 118, 119, 121, 131, 133, 135, 143, 162, 165, 175, 176, 180, 183], "code": [0, 12, 30, 32, 35, 55, 115, 151, 176, 185], "follow": [0, 8, 12, 15, 17, 19, 21, 32, 40, 46, 59, 62, 65, 68, 74, 75, 84, 85, 86, 87, 93, 94, 102, 106, 113, 114, 115, 116, 126, 129, 131, 132, 141, 144, 145, 148, 156, 157, 158, 160, 162, 163, 168], "command": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 15, 32, 51, 53, 55, 60, 111, 129, 131, 133, 162, 167, 168], "cd": [0, 8, 52, 53, 55, 59], "xxx_root_directori": [0, 15, 17, 23], "ofm": [0, 12, 13, 17, 31, 55, 59, 131, 133, 134, 169, 170, 173, 174, 178, 179, 181, 182, 183], "comp": [0, 17, 31, 55, 59, 60, 112, 154, 155, 162, 169, 170, 173, 174, 178, 179, 181, 182, 183], "debug": [0, 2, 3, 4, 8, 17, 20, 23, 24, 46, 47, 59, 69, 74, 75, 131, 162, 180], "sw": [0, 13, 17, 46, 60, 62, 63, 66, 68, 73, 75, 93, 128, 131, 133], "setup": [0, 27, 32, 45, 46, 52, 53, 59, 101, 167], "py": [0, 8, 17, 27, 52, 53, 55, 59, 93], "Then": [0, 12, 13, 21, 52, 57, 59, 86, 93, 97, 102, 113, 115, 141, 162, 164, 167], "go": [0, 13, 15, 68, 74, 113, 115, 162], "mem": [0, 19, 22, 53], "tool": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 17, 19, 21, 23, 25, 32, 53, 131, 133, 143, 162, 168], "directori": [0, 12, 13, 15, 18, 31, 32, 143, 162, 169, 170, 173, 174, 178, 179, 181, 182, 183, 185], "mem_test": [0, 13, 52, 59], "output": [0, 15, 17, 19, 20, 21, 24, 28, 30, 33, 34, 35, 36, 37, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 53, 59, 60, 61, 64, 67, 68, 69, 70, 71, 72, 74, 75, 76, 82, 83, 84, 85, 86, 87, 88, 89, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 106, 109, 111, 112, 113, 115, 116, 118, 119, 120, 122, 123, 124, 125, 128, 129, 130, 131, 132, 133, 135, 136, 137, 139, 140, 142, 143, 151, 162, 172, 176, 178, 180], "wa": [0, 12, 21, 27, 40, 53, 59, 75, 85, 93, 94, 113, 115, 124, 131, 140, 162, 167, 168, 176, 178, 181], "success": [0, 19, 59, 134, 162], "mem_logg": [0, 13, 52, 53, 58, 59], "statist": [0, 28, 38, 40, 46, 52, 53, 59, 74, 75, 84, 113, 129, 131, 133, 162, 176, 180], "write": [0, 5, 6, 7, 12, 13, 14, 17, 23, 24, 32, 34, 35, 40, 42, 44, 45, 46, 47, 49, 50, 51, 52, 53, 54, 57, 58, 59, 60, 66, 72, 73, 74, 78, 93, 97, 104, 108, 109, 111, 113, 116, 129, 131, 133, 134, 138, 139, 140, 141, 143, 146, 147, 150, 151, 154, 155, 162, 164, 165, 166, 168, 172, 176, 177], "request": [0, 15, 17, 19, 20, 21, 23, 24, 26, 34, 36, 40, 50, 51, 52, 53, 54, 57, 58, 59, 62, 63, 64, 69, 73, 74, 75, 85, 93, 97, 103, 108, 109, 111, 113, 114, 116, 125, 131, 133, 134, 135, 138, 139, 140, 141, 143, 146, 147, 164, 168, 172, 180], "33554431": 0, "word": [0, 14, 19, 24, 34, 35, 36, 42, 44, 45, 47, 50, 51, 52, 57, 58, 59, 60, 61, 65, 67, 70, 76, 77, 78, 84, 85, 86, 87, 88, 89, 91, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 114, 116, 118, 119, 121, 124, 126, 127, 131, 132, 133, 135, 138, 139, 144, 148, 149, 150, 151, 154, 155, 158, 160, 161, 162, 163, 166, 168], "134217724": 0, "read": [0, 13, 14, 15, 17, 21, 23, 24, 28, 34, 35, 38, 40, 42, 44, 45, 46, 47, 50, 51, 52, 53, 54, 57, 58, 59, 60, 64, 66, 71, 72, 73, 74, 85, 91, 93, 102, 104, 106, 108, 109, 111, 113, 114, 116, 124, 125, 128, 129, 131, 132, 133, 134, 138, 139, 140, 141, 143, 148, 149, 158, 160, 162, 164, 167, 168, 172, 176, 177], "flow": [0, 2, 3, 4, 19, 21, 52, 59, 90, 93, 180], "160": 0, "78": [0, 17], "gb": [0, 59], "": [0, 12, 13, 15, 19, 21, 24, 25, 27, 30, 32, 33, 36, 40, 45, 46, 47, 51, 59, 62, 63, 68, 76, 78, 84, 85, 86, 91, 93, 94, 97, 101, 102, 106, 111, 113, 115, 129, 131, 141, 142, 151, 162, 164, 165, 175], "161": 0, "68": 0, "total": [0, 17, 24, 38, 40, 47, 55, 59, 66, 68, 69, 72, 73, 75, 81, 102, 116, 131, 133], "23": [0, 19, 21, 50, 116, 131], "time": [0, 12, 13, 21, 26, 27, 32, 34, 36, 40, 41, 42, 44, 46, 47, 49, 53, 54, 56, 59, 85, 88, 91, 94, 97, 103, 106, 114, 116, 121, 123, 131, 132, 140, 143, 151, 162, 167], "427": 0, "42": [0, 129], "m": [0, 36, 46, 59, 60, 120, 167, 176], "425": 0, "04": [0, 59], "852": 0, "46": 0, "min": [0, 53, 58, 59, 68, 74, 78, 80, 82, 101, 116, 131, 145, 148, 156, 157, 158, 160, 161], "96": [0, 17, 76, 139], "00": [0, 15, 33, 59, 115, 139], "n": [0, 21, 32, 36, 40, 42, 43, 44, 46, 59, 85, 91, 96, 97, 116, 120, 129, 145, 151, 156, 157, 162, 167, 176], "max": [0, 19, 24, 28, 35, 42, 44, 53, 56, 58, 59, 61, 65, 67, 68, 69, 70, 71, 72, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 116, 117, 122, 123, 128, 131, 133, 135, 138, 143, 145, 148, 156, 157, 158, 160, 161, 162, 167, 168], "555": 0, "avg": [0, 59, 101], "131": 0, "56": 0, "histogram": [0, 52, 53, 58, 59, 170], "93": [0, 59], "117": [0, 59], "5": [0, 13, 15, 17, 21, 28, 32, 47, 51, 53, 58, 59, 78, 85, 93, 101, 115, 116, 129, 131, 138, 154, 155, 166], "12613618": 0, "141": 0, "6": [0, 5, 8, 11, 17, 19, 21, 40, 50, 53, 58, 59, 61, 78, 102, 115, 129, 131, 133, 139], "13893635": 0, "165": 0, "6618217": 0, "503": 0, "527": 0, "74899": 0, "551": 0, "265549": 0, "575": [0, 48], "88513": 0, "error": [0, 12, 19, 21, 27, 28, 50, 59, 111, 113, 129, 130, 131, 151, 162], "zero": [0, 40, 56, 59, 76, 78, 117, 131, 162, 166], "burst": [0, 19, 57, 58, 59, 78, 109, 161, 162, 164], "count": [0, 19, 25, 36, 46, 47, 52, 53, 57, 58, 59, 82, 93, 101, 117, 125, 131, 133, 176, 180, 183], "simultan": [0, 36, 40, 59, 81, 93, 102], "r": [0, 7, 8, 15, 18, 19, 23, 24, 57, 58, 59, 60, 93, 129, 131, 133, 141], "w": [0, 15, 19, 23, 57, 58, 59, 60, 93, 131, 133], "paralel": [0, 56], "13": [0, 53, 55, 69, 70, 76, 136, 139], "10": [0, 14, 15, 21, 22, 24, 26, 28, 30, 33, 51, 53, 58, 59, 81, 91, 97, 113, 129, 131, 133, 139, 142, 143, 151, 162, 164, 166, 168, 172, 177, 180], "83": [0, 17, 129], "27238": 0, "12": [0, 13, 15, 19, 46, 53, 58, 63, 64, 66, 73, 75, 76, 77, 86, 102, 104, 123, 129, 139], "4294967295": 0, "16": [0, 8, 12, 15, 19, 21, 24, 35, 50, 53, 55, 59, 61, 62, 63, 64, 66, 68, 69, 70, 71, 72, 75, 76, 78, 86, 90, 93, 100, 104, 105, 113, 116, 119, 124, 127, 131, 132, 139, 143, 151, 162, 168], "13345442": 0, "document": [0, 12, 15, 17, 20, 21, 27, 32, 35, 36, 45, 55, 76, 86, 102, 103, 105, 141, 148, 158, 160, 161, 162, 163, 168, 172, 176, 180], "detail": [0, 8, 12, 15, 19, 20, 21, 30, 32, 44, 45, 47, 51, 101, 126, 129, 135, 141, 154, 155, 162, 172, 176, 180], "descript": [0, 8, 13, 19, 20, 21, 23, 24, 25, 26, 28, 30, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 46, 47, 48, 50, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 141, 142, 143, 145, 148, 151, 152, 156, 157, 158, 160, 161, 164, 165, 168, 170, 173, 174, 178, 179, 180, 181, 183], "address": [0, 13, 17, 19, 21, 24, 28, 40, 42, 44, 45, 46, 47, 50, 51, 57, 58, 59, 60, 63, 64, 66, 68, 70, 71, 72, 74, 77, 78, 87, 97, 101, 102, 106, 108, 109, 110, 113, 114, 116, 128, 131, 133, 138, 139, 143, 162, 164, 177, 180], "space": [0, 13, 17, 19, 21, 22, 24, 26, 28, 32, 33, 34, 45, 46, 47, 49, 50, 57, 58, 59, 64, 66, 70, 77, 78, 87, 97, 106, 113, 115, 116, 131, 133, 138, 140, 141, 143, 148, 151, 158, 160, 161, 162, 166, 180], "entir": [0, 13, 17, 19, 21, 23, 32, 45, 50, 132, 141], "core": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 17, 19, 23, 26, 28, 32, 39, 40, 55, 68, 74, 75, 78, 129, 134, 140, 141], "wrapper": [0, 20, 44, 46, 55, 112, 152, 180], "whole": [0, 8, 19, 21, 23, 37, 41, 46, 57, 58, 59, 61, 65, 67, 70, 86, 93, 94, 102, 114, 124, 126, 131, 133, 138, 143, 145, 149, 154, 155, 156, 157, 162, 166], "describ": [0, 2, 3, 4, 12, 13, 15, 17, 19, 20, 21, 23, 25, 31, 32, 45, 76, 93, 102, 126, 129, 140, 141, 145, 148, 149, 156, 157, 158, 160, 161, 162, 165, 166, 168, 169, 170, 182, 183], "devtre": [0, 13, 23, 32, 55, 59], "its": [0, 12, 13, 14, 15, 17, 19, 21, 23, 24, 27, 32, 41, 45, 47, 49, 51, 54, 55, 59, 62, 69, 73, 74, 75, 79, 85, 86, 93, 97, 101, 102, 106, 113, 115, 132, 140, 141, 145, 151, 156, 157, 158, 161, 162, 165, 168], "overview": [0, 171], "card": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 17, 18, 19, 21, 22, 23, 24, 26, 32, 50, 55, 59], "l": [0, 32], "firmwar": [0, 17, 19, 20, 22, 23, 24, 26, 93, 113, 134], "mi_bus0": [0, 13, 17], "path": [0, 8, 12, 14, 17, 32, 49, 55, 60, 76, 90, 92, 113, 129, 141, 162, 180], "0x00002000": [0, 23], "pmci": [0, 13], "ofs_pmci": [0, 13], "0x00000000": [0, 23, 115], "mi_test_spac": [0, 13, 17], "0x00004000": [0, 23], "netcop": [0, 13, 60], "tsu": [0, 13, 19, 21, 25, 26, 28, 50, 91, 97, 131], "0x01000000": [0, 13, 23], "dma_ctrl_ndp_rx": [0, 13], "dma_modul": [0, 13], "dma_ctrl_ndp_rx0": [0, 13], "0x01000080": 0, "dma_ctrl_ndp_rx1": [0, 13], "0x01000100": 0, "dma_ctrl_ndp_rx2": [0, 13], "0x01000180": 0, "dma_ctrl_ndp_rx3": [0, 13], "0x01000200": 0, "dma_ctrl_ndp_rx4": [0, 13], "0x01000280": 0, "dma_ctrl_ndp_rx5": [0, 13], "0x01000300": 0, "dma_ctrl_ndp_rx6": [0, 13], "0x01000380": 0, "dma_ctrl_ndp_rx7": [0, 13], "0x01000400": 0, "dma_ctrl_ndp_rx8": [0, 13], "0x01000480": 0, "dma_ctrl_ndp_rx9": [0, 13], "0x01000500": 0, "dma_ctrl_ndp_rx10": [0, 13], "0x01000580": 0, "dma_ctrl_ndp_rx11": [0, 13], "0x01000600": 0, "dma_ctrl_ndp_rx12": [0, 13], "0x01000680": 0, "dma_ctrl_ndp_rx13": [0, 13], "0x01000700": 0, "dma_ctrl_ndp_rx14": [0, 13], "0x01000780": 0, "dma_ctrl_ndp_rx15": [0, 13], "0x01200000": 0, "dma_ctrl_ndp_tx": [0, 13], "dma_ctrl_ndp_tx0": [0, 13], "0x01200080": 0, "dma_ctrl_ndp_tx1": [0, 13], "0x01200100": 0, "dma_ctrl_ndp_tx2": [0, 13], "0x01200180": 0, "dma_ctrl_ndp_tx3": [0, 13], "0x01200200": 0, "dma_ctrl_ndp_tx4": [0, 13], "0x01200280": 0, "dma_ctrl_ndp_tx5": [0, 13], "0x01200300": 0, "dma_ctrl_ndp_tx6": [0, 13], "0x01200380": 0, "dma_ctrl_ndp_tx7": [0, 13], "0x01200400": 0, "dma_ctrl_ndp_tx8": [0, 13], "0x01200480": 0, "dma_ctrl_ndp_tx9": [0, 13], "0x01200500": 0, "dma_ctrl_ndp_tx10": [0, 13], "0x01200580": 0, "dma_ctrl_ndp_tx11": [0, 13], "0x01200600": 0, "dma_ctrl_ndp_tx12": [0, 13], "0x01200680": 0, "dma_ctrl_ndp_tx13": [0, 13], "0x01200700": 0, "dma_ctrl_ndp_tx14": [0, 13], "0x01200780": 0, "dma_ctrl_ndp_tx15": [0, 13], "0x00003010": 0, "i2c": [0, 13, 21], "i2c0": [0, 13], "0x0000301c": 0, "pmdctrl0": [0, 13], "0x00003110": 0, "i2c1": [0, 13], "0x0000311c": 0, "pmdctrl1": [0, 13], "0x00800000": [0, 21, 23], "pcsreg": [0, 13], "regarr0": [0, 13], "0x00008000": [0, 21, 23], "txmac": [0, 13], "txmac0": [0, 13], "0x00008200": 0, "rxmac": [0, 13], "rxmac0": [0, 13], "0x00a00000": 0, "regarr1": [0, 13], "0x0000a000": 0, "txmac1": [0, 13], "0x0000a200": 0, "rxmac1": [0, 13], "0x00001000": [0, 23], "intel_sdm_control": [0, 13], "0x00010000": [0, 23, 55], "intel_jtag_op_ctrl": [0, 13], "intel_jtag_op_control": [0, 13], "0x02000000": [0, 23], "app_cor": [0, 13], "app_core_minimal_0": [0, 13], "mvb_channel_rout": [0, 13], "rx_chan_rout": [0, 13], "0x02800000": 0, "app_core_minimal_1": [0, 13], "0x03000000": 0, "mem_tester_0": [0, 13], "0x03020000": 0, "mem_tester_1": [0, 13], "0x03040000": 0, "mem_tester_2": [0, 13], "0x03060000": 0, "mem_tester_3": [0, 13], "0x03080000": 0, "mem_logger_0": [0, 13], "0x030a0000": 0, "mem_logger_1": [0, 13], "0x030c0000": 0, "mem_logger_2": [0, 13], "0x030e0000": 0, "mem_logger_3": [0, 13], "0x00005000": [0, 23], "gen_loop_switch": [0, 13, 17, 77], "dbg_gls0": [0, 13], "0x00005080": 0, "mfb_gener": [0, 13], "mfb_gen2dma": [0, 13], "0x000050c0": 0, "mfb_gen2eth": [0, 13], "0x00005200": 0, "dbg_gls1": [0, 13], "0x00005280": 0, "0x000052c0": 0, "welcom": [1, 175], "The": [1, 8, 12, 13, 14, 15, 16, 17, 25, 26, 27, 30, 31, 33, 34, 35, 36, 37, 40, 41, 42, 44, 45, 46, 47, 49, 53, 54, 55, 57, 59, 61, 62, 63, 64, 65, 67, 69, 70, 72, 73, 74, 75, 78, 79, 80, 81, 82, 84, 85, 86, 87, 91, 93, 94, 95, 97, 100, 101, 102, 103, 106, 108, 109, 111, 113, 114, 115, 116, 118, 121, 122, 126, 128, 129, 131, 132, 133, 134, 135, 136, 138, 139, 140, 141, 143, 144, 145, 148, 149, 151, 156, 157, 158, 160, 161, 162, 163, 164, 165, 168, 169, 170, 172, 176, 177, 178, 180, 181, 182, 183], "refer": [1, 2, 3, 4, 12, 13, 15, 16, 17, 19, 21, 22, 39, 109, 129, 149, 162], "develop": [1, 6, 7, 12, 15, 20, 26, 32, 162, 168, 175, 178, 181], "kit": [1, 4, 6, 7, 15, 26], "allow": [1, 8, 12, 15, 19, 20, 21, 23, 24, 26, 27, 28, 33, 34, 36, 40, 42, 44, 45, 46, 47, 48, 49, 64, 66, 68, 73, 75, 77, 78, 79, 80, 83, 85, 93, 94, 102, 103, 108, 118, 119, 126, 128, 129, 131, 132, 133, 138, 140, 149, 154, 155, 162, 170, 172, 176, 177], "quickli": [1, 17, 26, 148, 160, 161], "easili": [1, 13, 26, 65, 112], "acceler": [1, 26, 171], "optim": [1, 20, 26, 27, 40, 88, 112, 116, 172, 176, 177, 180], "high": [1, 14, 16, 20, 26, 45, 47, 59, 68, 78, 99, 102, 111, 116, 129, 131, 133, 143, 148, 149, 150, 158, 160, 165, 167, 176], "scalabl": [1, 26, 45], "support": [1, 12, 15, 16, 17, 20, 21, 22, 26, 28, 32, 34, 36, 39, 40, 42, 44, 50, 51, 55, 60, 64, 74, 75, 76, 77, 78, 81, 91, 93, 94, 97, 102, 109, 114, 116, 131, 132, 133, 135, 139, 141, 143, 172, 177, 178, 181], "up": [1, 2, 4, 5, 6, 7, 8, 9, 10, 11, 14, 16, 19, 20, 21, 24, 32, 36, 45, 55, 63, 76, 86, 94, 106, 129, 131, 132, 133, 134, 145, 149, 151, 154, 155, 156, 162, 166, 167, 176], "400": [1, 14, 20, 26, 28, 129, 131, 133], "gigabit": [1, 16, 26], "memori": [1, 5, 6, 7, 14, 19, 21, 23, 24, 26, 31, 34, 35, 40, 43, 49, 50, 51, 52, 55, 57, 60, 74, 77, 93, 103, 105, 108, 109, 113, 127, 131, 140, 146, 147, 170, 172, 176, 180], "medusa": [1, 183], "part": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 15, 17, 19, 20, 21, 23, 24, 32, 40, 49, 68, 74, 76, 77, 78, 79, 85, 102, 104, 113, 114, 115, 131, 132, 133, 140, 141, 143], "open": [1, 2, 3, 4, 15, 20, 51, 55, 59, 175], "get": [1, 13, 16, 19, 20, 21, 32, 40, 46, 55, 59, 86, 90, 93, 113, 120, 134, 138, 148, 151, 158, 160, 161, 162, 164, 165], "includ": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 17, 19, 20, 21, 22, 23, 24, 32, 34, 52, 59, 60, 81, 94, 129, 131, 133, 138, 162, 172, 183], "profession": [1, 20], "through": [1, 13, 14, 17, 19, 20, 21, 26, 32, 34, 46, 47, 68, 74, 85, 93, 97, 101, 102, 103, 104, 106, 111, 113, 116, 128, 131, 133, 134, 143, 144, 145, 156, 157, 161, 162, 163, 180], "our": [1, 15, 16, 20, 21, 40, 46, 102, 113, 115, 162], "partner": [1, 20, 21], "brnolog": [1, 20], "inform": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 17, 21, 24, 25, 40, 45, 51, 55, 64, 70, 73, 75, 77, 79, 81, 93, 94, 100, 103, 104, 105, 106, 109, 131, 135, 140, 141, 149, 158, 160, 161, 162, 163, 168, 180], "vendor": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 24, 64, 134], "xilinx": [2, 3, 4, 9, 10, 12, 14, 15, 21, 22, 24, 34, 35, 75, 92, 105, 112, 124, 127, 129, 131, 133, 135, 141, 172, 176, 177, 180], "name": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 19, 21, 25, 27, 28, 32, 39, 40, 45, 50, 55, 68, 74, 75, 76, 85, 86, 91, 96, 97, 100, 101, 102, 113, 116, 126, 131, 132, 133, 134, 143, 148, 151, 154, 155, 158, 160, 161, 162, 163, 164, 165, 166, 167], "port": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 17, 21, 24, 26, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 43, 46, 48, 50, 55, 57, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 132, 135, 136, 137, 138, 139, 141, 142, 143, 145, 146, 147, 150, 151, 152, 156, 157, 162, 164, 165, 172, 176, 177], "2x": [2, 3, 4, 6, 7, 9, 10, 11, 58, 131], "qsfp28": [2, 3, 4, 9, 10, 16], "pcie": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 19, 20, 23, 26, 50, 55, 61, 64, 68, 69, 70, 72, 74, 75, 102, 134, 138, 140], "conector": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11], "edg": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 30, 57, 59, 102, 176], "connector": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 24, 26], "websit": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11], "xcu200": 2, "fsgd2104": 2, "e": [2, 3, 5, 6, 9, 11, 12, 14, 17, 18, 19, 21, 28, 32, 40, 41, 46, 47, 55, 72, 86, 93, 94, 97, 102, 109, 113, 114, 115, 126, 131, 132, 133, 135, 162, 168], "basic": [2, 13, 15, 17, 21, 22, 32, 53, 59, 60, 93, 97, 116, 132, 148, 154, 155, 157, 158, 160, 161, 166], "same": [2, 12, 14, 15, 19, 21, 30, 32, 40, 41, 45, 47, 49, 54, 55, 56, 58, 59, 60, 76, 77, 85, 87, 89, 93, 94, 97, 101, 102, 104, 106, 109, 113, 114, 115, 119, 124, 126, 131, 132, 133, 134, 139, 145, 150, 151, 154, 155, 156, 157, 162, 163, 164, 165, 168, 172, 176, 177, 180], "vu9p": 2, "hard": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 17, 21, 57, 59, 131, 133, 138], "cmac": [2, 3, 4, 9, 10, 14, 21, 28, 131, 133], "100g": [2, 3, 4, 5, 6, 9, 10, 11, 13, 27, 93, 102], "usp": [2, 3, 4, 9, 10, 24, 138], "gen3": [2, 4, 9, 10, 24, 75], "x16": [2, 4, 5, 6, 7, 8, 9, 10, 11, 15, 24, 75], "app_root_directori": [2, 3, 4, 5, 6, 7, 8, 9, 10], "config": [2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 78, 148, 152, 158, 160, 161, 162], "card_conf": [2, 3, 4, 5, 6, 7, 8, 9, 10], "tcl": [2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 15, 23, 55], "file": [2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 15, 18, 19, 23, 27, 55, 59, 149, 162, 168], "makefil": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 20, 24, 27], "target": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 15, 21, 24, 26, 30, 33, 35, 40, 45, 46, 47, 55, 59, 75, 79, 81, 90, 93, 94, 104, 107, 109, 110, 113, 114, 115, 133, 139], "valid": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 15, 19, 21, 25, 27, 28, 34, 36, 42, 44, 45, 46, 49, 50, 54, 57, 61, 64, 70, 76, 80, 81, 82, 83, 84, 85, 86, 88, 89, 90, 91, 93, 94, 96, 97, 99, 100, 101, 102, 103, 104, 106, 107, 109, 113, 114, 116, 118, 119, 120, 121, 123, 124, 125, 126, 129, 131, 133, 134, 135, 138, 139, 140, 143, 146, 147, 148, 150, 154, 155, 160, 162, 164, 165, 166, 176], "minim": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 19, 22, 53, 58, 59, 131, 133], "mai": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 15, 17, 19, 32, 34, 35, 36, 47, 49, 76, 85, 93, 94, 102, 113, 120, 126, 131, 133, 138, 140, 141, 151, 162], "vari": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 15, 24, 40], "other": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 17, 21, 24, 27, 28, 33, 36, 38, 40, 44, 45, 46, 47, 49, 53, 59, 69, 74, 76, 78, 82, 84, 85, 88, 89, 90, 92, 93, 94, 95, 97, 102, 104, 110, 113, 114, 115, 117, 126, 129, 131, 133, 139, 140, 142, 145, 148, 149, 151, 154, 155, 156, 158, 160, 161, 166, 167, 168], "100g2": [2, 3, 4, 5, 6, 9, 10, 11, 13, 15], "2x100gbe": [2, 3, 4, 9, 10], "100g0": [2, 4], "disabl": [2, 4, 15, 24, 25, 33, 35, 36, 53, 55, 77, 78, 84, 87, 100, 112, 116, 120, 127, 129, 131, 133, 143, 162], "applic": [2, 4, 13, 17, 20, 22, 23, 25, 26, 32, 55, 93, 126, 135], "remain": [2, 4, 102, 103, 107, 132, 149, 165, 172], "experiment": [2, 4, 78], "featur": [2, 4, 12, 13, 21, 25, 81, 102, 131, 177], "boot": [2, 3, 4, 8, 9, 10, 11, 13, 15, 23, 97], "NO": [2, 3, 4, 6, 7, 27, 164], "jtag": [2, 3, 4, 5, 6, 7, 23, 170], "buld": [2, 3, 4], "abov": [2, 3, 4, 12, 21, 26, 32, 33, 45, 76, 86, 93, 94, 102, 115, 126, 141, 143, 145, 148, 156, 157, 160, 161, 165], "gener": [2, 3, 4, 8, 12, 14, 15, 17, 18, 20, 21, 23, 24, 25, 26, 27, 28, 30, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 50, 55, 61, 62, 64, 65, 66, 67, 68, 71, 75, 77, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 128, 132, 135, 136, 138, 139, 140, 141, 142, 143, 144, 145, 148, 149, 150, 151, 152, 156, 157, 158, 160, 161, 163, 164, 167, 168, 170, 176, 177, 178, 180], "bitstream": [2, 3, 4, 5, 6, 7, 15], "vivado": [2, 3, 4, 9, 10, 14, 15, 32, 142], "gui": [2, 3, 4, 162], "usb": [2, 3, 4, 5, 6, 7], "cabl": [2, 3, 4, 5, 6, 7, 55], "hardwar": [2, 3, 4, 12, 32, 55, 66, 68, 73, 74, 75], "manag": [2, 3, 4, 21, 51, 54, 61, 63, 68, 71, 74, 141, 142, 183], "2022": [2, 4, 13, 15], "manual": [2, 3, 4, 17, 55, 57, 59, 60, 93, 162], "To": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 21, 40, 41, 45, 46, 47, 49, 57, 59, 62, 63, 86, 93, 94, 97, 106, 114, 115, 126, 131, 133, 140, 149, 150], "must": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 19, 21, 23, 24, 25, 28, 32, 34, 35, 36, 40, 42, 44, 45, 47, 51, 59, 76, 77, 78, 79, 81, 86, 90, 91, 93, 97, 100, 101, 102, 103, 106, 107, 108, 109, 110, 111, 113, 115, 116, 119, 120, 121, 122, 123, 124, 125, 128, 131, 132, 133, 134, 138, 140, 141, 143, 145, 151, 154, 155, 156, 157, 162, 164, 165], "have": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 15, 17, 19, 21, 27, 32, 36, 39, 40, 45, 46, 47, 53, 57, 59, 63, 77, 82, 85, 86, 93, 94, 102, 106, 111, 113, 114, 115, 117, 126, 130, 131, 132, 133, 140, 141, 148, 151, 158, 160, 162, 164, 165, 166, 167, 168, 176], "licens": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 15, 131, 133], "been": [2, 3, 4, 13, 32, 40, 41, 45, 46, 47, 61, 71, 82, 85, 88, 102, 126, 131, 132, 135, 140, 141, 148, 151, 158, 162, 164, 176], "properli": [2, 3, 4, 13, 20, 131, 143, 162], "although": [2, 3, 4, 32, 93, 102], "xcu55c": 3, "fsvh2892": 3, "2l": 3, "virtex": [4, 177], "ultrascal": [4, 14, 21, 22, 24, 32, 33, 34, 35, 36, 42, 44, 46, 52, 56, 61, 62, 63, 64, 68, 69, 70, 71, 72, 74, 75, 79, 81, 85, 86, 87, 88, 90, 91, 94, 95, 96, 97, 100, 101, 103, 104, 105, 108, 116, 122, 124, 127, 131, 133, 135, 138, 139, 143, 172, 177, 182], "evalu": [4, 32, 86], "xcvu9p": [4, 10], "flgb2104": [4, 10], "1x": [5, 8], "qsfp": [5, 7, 8, 11, 12, 13, 16, 17, 21, 23, 26, 28, 129], "dd": [5, 7, 8, 16], "agfb014r24b2e2v": 5, "tile": [5, 6, 7, 8, 11, 14, 17, 21, 24, 28, 102, 131, 133, 141], "p": [5, 6, 7, 11, 17, 24, 28, 59, 60, 93, 102, 141, 175], "gen4": [5, 6, 11, 24], "2x100ge": [5, 6, 11], "25g8": [5, 6, 7, 8, 11], "8x25ge": [5, 6, 11, 21], "10g8": [5, 6, 7, 8, 11], "8x10ge": [5, 6, 11, 21], "ye": [5, 8, 9, 10, 11, 14, 164], "version": [5, 8, 11, 12, 13, 14, 15, 60, 93, 103, 133, 134, 177], "17": [5, 15, 53, 139], "intel": [5, 8, 11, 12, 14, 15, 21, 22, 23, 24, 27, 34, 35, 51, 55, 59, 92, 105, 109, 112, 124, 127, 131, 133, 135, 138, 139, 141, 152, 170, 172, 177, 180], "quartu": [5, 6, 7, 8, 11, 13, 14, 15, 32, 55, 142], "prime": [5, 6, 7, 8, 11, 14, 15, 55], "pro": [5, 6, 7, 8, 11, 13, 14, 15], "befor": [5, 12, 19, 20, 21, 24, 32, 35, 38, 45, 46, 47, 50, 78, 85, 89, 93, 94, 97, 101, 102, 106, 111, 113, 115, 124, 131, 133, 140, 141, 148, 151, 158, 160, 162, 164], "flash": [5, 6, 7, 23, 26, 51], "regular": [5, 12], "programm": 5, "after": [5, 6, 7, 13, 15, 17, 21, 27, 32, 33, 45, 46, 47, 51, 54, 55, 59, 60, 62, 71, 73, 74, 80, 84, 85, 86, 89, 91, 93, 94, 97, 101, 109, 111, 113, 115, 131, 132, 140, 150, 151, 162, 163, 180], "complet": [5, 6, 7, 13, 15, 21, 24, 40, 45, 50, 60, 61, 74, 75, 84, 93, 102, 106, 135, 138, 139, 140, 162], "call": [5, 6, 7, 12, 13, 19, 21, 32, 52, 97, 102, 126, 160, 161, 162], "my_bitstream": [5, 6, 7], "sof": [5, 6, 7, 15, 19, 28, 70, 81, 82, 83, 84, 85, 86, 89, 90, 91, 94, 96, 97, 100, 102, 104, 106, 123, 131, 132, 133, 135, 146, 147, 148, 154, 155, 160, 162, 163, 167], "script": [5, 6, 7, 12, 13, 15, 17, 27, 32, 52, 55, 59, 60, 68, 74, 93], "generate_j": 5, "sh": [5, 6, 7], "convert": [5, 6, 7, 12, 21, 22, 24, 49, 51, 93, 109, 110, 114, 115, 123, 125, 131, 132, 133, 135, 140, 141, 142, 148, 152, 158, 160, 161, 176, 180], "two": [5, 12, 19, 20, 21, 24, 27, 32, 34, 35, 36, 45, 49, 63, 65, 76, 79, 81, 82, 83, 85, 86, 88, 89, 90, 93, 94, 95, 96, 97, 98, 99, 102, 103, 106, 107, 108, 112, 113, 115, 116, 119, 121, 123, 126, 131, 132, 135, 140, 143, 144, 145, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 163, 164, 166, 167, 168, 172, 177, 180], "jic": 5, "format": [5, 6, 7, 15, 17, 19, 21, 24, 50, 78, 86, 91, 93, 97, 113, 116, 129, 131, 134, 141, 143, 162, 166], "On": [5, 6, 7, 15, 21, 47, 68, 126, 130, 132, 140, 141, 154, 155, 166], "host": [5, 6, 7, 14, 19, 24, 26, 51, 55, 109, 138, 141], "pc": [5, 6, 7, 17, 21, 23, 24, 26, 28, 131, 133, 138], "where": [5, 6, 7, 12, 13, 15, 16, 17, 19, 22, 32, 34, 36, 37, 49, 51, 55, 62, 70, 74, 85, 86, 87, 93, 97, 101, 102, 106, 120, 128, 132, 133, 140, 143, 162, 164, 167, 168, 176], "write_j": 5, "power": [5, 6, 7, 34, 36, 59, 79, 81, 82, 83, 85, 86, 89, 91, 94, 97, 100, 101, 103, 107, 108, 114, 116, 121, 123, 131, 133], "off": [5, 6, 7, 21, 86, 116, 129, 133, 164], "cycl": [5, 6, 7, 30, 33, 35, 36, 39, 40, 45, 46, 47, 48, 53, 54, 58, 76, 78, 85, 89, 93, 97, 102, 109, 113, 114, 116, 126, 128, 132, 140, 141, 143, 144, 150, 154, 155, 162, 163, 164, 167, 172, 176, 177, 178, 180, 181], "new": [5, 6, 7, 12, 15, 17, 19, 26, 45, 49, 54, 60, 63, 79, 80, 85, 86, 93, 106, 113, 131, 140, 141, 143, 145, 148, 151, 156, 157, 158, 160, 161, 162, 168, 185], "load": [5, 6, 7, 8, 12, 13, 26, 32, 46, 49, 55, 57, 59, 85, 93, 141, 162, 176], "procedur": [5, 6, 7, 12, 32], "dev": [6, 7, 13, 28, 59], "1sdx": [6, 7, 28], "qsfp56": 6, "option": [6, 7, 8, 13, 20, 28, 32, 33, 34, 35, 41, 47, 48, 55, 59, 75, 78, 85, 86, 90, 91, 97, 100, 103, 105, 108, 109, 113, 118, 124, 127, 129, 131, 133, 136, 142, 151, 154, 155], "upi": 6, "guid": [6, 7, 21, 27, 51, 59, 162, 172, 177], "schemat": [6, 7], "1sd280pt2f55e1vg": [6, 32], "bellow": [6, 7, 130], "generate_pof": [6, 7], "pof": [6, 7], "write_pof": [6, 7], "agi027r": [7, 28], "mcio": 7, "agib027r29a1e2vr0": [7, 8], "f": [7, 8, 14, 17, 21, 59, 131, 133], "400g": [7, 8, 93, 102], "gen5": [7, 8, 24], "400g1": [7, 8, 28], "1x400gbe": [7, 8], "200g2": [7, 8], "2x200gbe": [7, 8], "100g4": [7, 8, 10, 12], "4x100gbe": [7, 8, 10], "50g8": [7, 8], "8x50gbe": [7, 8], "40g2": [7, 8], "2x40gbe": [7, 8], "8x25gbe": [7, 8], "8x10gbe": [7, 8], "cooper": [8, 162], "hsi": 8, "agib027r29a1e2vr3": 8, "exist": [8, 13, 21, 23, 32, 86, 125, 148, 162], "multipl": [8, 12, 16, 17, 19, 20, 21, 24, 26, 32, 35, 36, 38, 40, 44, 45, 47, 49, 51, 56, 59, 69, 73, 74, 75, 81, 85, 86, 89, 93, 94, 98, 102, 109, 113, 114, 115, 123, 126, 140, 141, 142, 143, 151, 162, 167, 172, 176, 177, 178, 181], "board_rev": [8, 15], "correct": [8, 15, 24, 28, 34, 35, 42, 44, 46, 64, 108, 114, 131, 134, 135, 136, 138, 162, 165], "select": [8, 12, 13, 15, 16, 17, 19, 21, 26, 28, 32, 34, 35, 39, 42, 44, 45, 53, 57, 59, 60, 64, 77, 80, 81, 95, 96, 97, 100, 103, 105, 108, 116, 117, 118, 122, 127, 131, 133, 135, 138, 143, 145, 156, 157, 162, 164, 172], "paramet": [8, 13, 17, 19, 20, 21, 24, 27, 28, 32, 34, 42, 44, 48, 53, 59, 60, 64, 65, 67, 69, 72, 76, 85, 89, 92, 93, 94, 103, 105, 107, 108, 111, 113, 116, 121, 123, 132, 133, 135, 138, 144, 145, 148, 151, 154, 155, 156, 157, 158, 160, 161, 162, 163, 164, 165, 166, 168], "valu": [8, 13, 14, 15, 17, 19, 20, 24, 27, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 44, 45, 46, 48, 49, 50, 53, 54, 59, 64, 66, 68, 75, 76, 78, 80, 82, 84, 85, 86, 88, 91, 92, 93, 94, 95, 97, 100, 101, 102, 103, 105, 109, 113, 114, 115, 116, 117, 122, 123, 125, 128, 131, 132, 133, 134, 135, 138, 139, 140, 143, 146, 147, 150, 151, 162, 164, 165, 167, 168, 176, 181], "first": [8, 12, 13, 15, 17, 19, 21, 24, 25, 27, 32, 34, 35, 36, 45, 46, 47, 50, 52, 57, 58, 59, 60, 74, 76, 84, 85, 86, 91, 93, 94, 97, 101, 102, 103, 113, 115, 126, 131, 132, 133, 135, 136, 137, 139, 146, 147, 148, 150, 151, 154, 155, 160, 162, 164, 165, 166, 168, 176, 180], "prototyp": 8, "second": [8, 12, 13, 19, 21, 25, 46, 50, 64, 85, 93, 94, 102, 113, 115, 126, 132, 142, 143, 148, 150, 151, 160, 162, 165, 168, 172], "sever": [8, 17, 19, 20, 26, 32, 93, 123, 131, 133], "switchabl": 8, "loopback": [8, 15, 17, 20, 21, 77, 129, 178], "usual": [8, 32, 75, 113, 132, 162], "gen": [8, 17, 20, 59, 88, 133, 169, 178, 179], "loop": [8, 17, 20, 40, 93, 125, 162, 178], "switch": [8, 15, 17, 20, 45, 47, 55, 88, 89, 93, 95, 121, 131, 162, 178], "gl": [8, 20, 23, 178], "A": [8, 12, 13, 14, 17, 19, 21, 23, 24, 34, 35, 40, 44, 45, 46, 49, 54, 69, 76, 85, 91, 93, 102, 132, 140, 148, 151, 157, 158, 160, 161, 162, 164, 183], "simplifi": [8, 24, 26, 85, 93, 102, 126, 129, 132, 145, 148, 156, 157, 158, 160, 161, 162], "capabl": [8, 13, 24, 35, 55, 87, 134], "found": [8, 12, 13, 15, 23, 30, 52, 70, 84, 102, 131, 162, 172, 176, 180], "prerequisit": [8, 32], "linux": [8, 14, 15], "server": [8, 55], "driver": [8, 13, 14, 15, 55, 59, 66, 144, 145, 146, 147, 150, 152, 156, 157, 163, 164, 167], "mi_debug": 8, "warn": [8, 36, 45, 94, 101, 162], "bottom": [8, 86], "readm": [8, 14, 15, 55], "pytest": 8, "pip3": 8, "depend": [8, 14, 19, 21, 22, 32, 40, 45, 47, 49, 55, 63, 93, 94, 105, 114, 115, 124, 126, 127, 131, 140, 141, 146, 147, 150, 154, 155, 162, 164, 166], "html": [8, 162], "themselv": [8, 40], "written": [8, 28, 34, 35, 36, 42, 44, 58, 60, 71, 72, 85, 93, 102, 104, 111, 113, 131, 162, 164, 185], "possibl": [8, 12, 13, 14, 17, 19, 27, 40, 44, 45, 46, 47, 53, 75, 76, 85, 87, 93, 98, 101, 109, 111, 113, 115, 123, 131, 133, 140, 148, 151, 158, 160, 161, 162, 168, 172], "test_pci": 8, "self": [8, 129], "bt": 8, "take": [8, 12, 13, 16, 19, 21, 35, 45, 47, 68, 74, 85, 90, 93, 101, 113, 114, 115, 126, 129, 132, 141, 146, 147, 149, 150, 162], "approxim": 8, "14": [8, 53, 55, 73, 74, 75, 79, 80, 81, 86, 100], "minut": 8, "displai": [8, 19, 21, 85, 151], "result": [8, 21, 33, 39, 40, 45, 46, 55, 59, 93, 100, 102, 129, 140, 151, 162, 180], "remov": [8, 13, 14, 21, 36, 38, 41, 47, 55, 77, 94, 106, 131, 176, 180], "sudo": [8, 15, 55, 59], "modprob": 8, "add": [8, 12, 19, 21, 37, 39, 40, 49, 53, 55, 61, 76, 94, 143, 149, 162, 164, 168, 176], "flag": [8, 19, 21, 24, 25, 32, 35, 36, 50, 53, 60, 81, 82, 83, 84, 93, 99, 107, 118, 129, 130, 131, 133, 134, 135], "xcku15p": 9, "ffve1760": 9, "variant": [10, 13, 15, 21, 24, 30, 88, 131, 133, 135, 169, 176, 177], "fb2cgg3": 10, "4x": 10, "agfb014r24a2e2v": 11, "18": [11, 46], "pacsign": 11, "three": [12, 21, 22, 55, 68, 88, 93, 102, 104, 113, 116, 126, 144, 148, 151, 154, 155, 158, 160, 161, 162, 164, 166, 167, 168], "abrevi": 12, "via": [12, 17, 19, 21, 23, 24, 26, 28, 32, 53, 55, 57, 59, 93, 97, 102, 126, 129, 143, 180, 183], "link": [12, 13, 15, 17, 19, 21, 24, 28, 76, 129, 131, 133, 154, 155], "core_root_directori": 12, "some": [12, 15, 17, 21, 24, 26, 32, 36, 39, 40, 41, 47, 48, 49, 59, 73, 74, 78, 85, 93, 94, 102, 113, 115, 124, 126, 129, 131, 141, 145, 156, 157, 162, 167, 176, 180], "info": [12, 15, 21, 37, 45, 55, 104, 131, 162], "own": [12, 14, 17, 19, 21, 24, 45, 47, 62, 73, 77, 86, 97, 120, 132, 140, 141, 145, 146, 147, 151, 154, 155, 156, 157, 162, 166], "hierarchi": 12, "shown": [12, 19, 20, 21, 24, 27, 102, 113, 115, 126, 132, 141, 162], "section": [12, 19, 21, 45, 51, 93, 100, 109, 126, 129, 162, 168], "page": [12, 76, 102, 126, 162, 171], "order": [12, 27, 32, 35, 36, 38, 40, 45, 47, 49, 50, 51, 59, 71, 76, 93, 94, 97, 100, 113, 119, 121, 125, 129, 132, 139, 140, 151, 161, 162, 180], "place": [12, 36, 47, 59, 94, 126, 129, 131, 132, 162, 166, 180], "core_boostrap": 12, "list": [12, 14, 17, 21, 24, 27, 30, 55, 60, 145, 156, 157, 162, 168], "chang": [12, 13, 14, 15, 27, 42, 45, 46, 57, 78, 85, 90, 93, 94, 98, 113, 114, 115, 116, 131, 132, 133, 135, 138, 141, 148, 150, 151, 158, 160, 161, 162, 167, 168], "comment": [12, 13, 162], "mean": [12, 19, 21, 24, 45, 46, 50, 61, 76, 85, 86, 93, 94, 102, 111, 113, 114, 115, 124, 126, 143, 162, 163, 164, 168, 176], "becaus": [12, 13, 21, 27, 32, 40, 47, 48, 59, 61, 67, 85, 93, 94, 106, 113, 115, 132, 145, 156, 157, 162, 165], "underli": 12, "platform": [12, 13, 15, 16, 17, 21, 26], "g": [12, 18, 19, 32, 40, 41, 46, 47, 55, 93, 94, 97, 109, 114, 132, 168], "mani": [12, 22, 46, 50, 53, 68, 74, 105, 113, 115, 127, 141, 149, 162, 176], "combin": [12, 19, 40, 113, 115, 120, 133, 142, 168], "howev": [12, 13, 14, 17, 21, 35, 40, 46, 59, 85, 86, 93, 102, 113, 123, 132, 157, 162], "find": [12, 15, 19, 24, 59, 148, 158, 160, 161, 162], "unsupport": [12, 24], "caus": [12, 45, 49, 93, 94, 113, 131, 132, 133], "dure": [12, 27, 32, 46, 54, 59, 76, 85, 86, 93, 126, 133, 162], "synthesi": [12, 15, 176], "should": [12, 13, 20, 21, 32, 35, 45, 47, 48, 51, 52, 53, 54, 58, 59, 60, 64, 76, 89, 93, 101, 106, 113, 115, 131, 143, 151, 162, 164, 185], "deliber": 12, "thei": [12, 13, 17, 19, 21, 45, 47, 49, 65, 84, 85, 86, 97, 101, 102, 113, 115, 119, 120, 124, 140, 148, 149, 151, 158, 160, 161, 162, 165, 176, 180], "purpos": [12, 17, 21, 24, 32, 47, 59, 65, 84, 102, 132, 134, 135, 139, 140, 141, 149, 151, 162, 167, 168, 176], "specifi": [12, 13, 32, 33, 40, 46, 47, 50, 52, 54, 67, 81, 82, 83, 85, 86, 100, 102, 106, 115, 126, 131, 146, 147, 151, 162, 166, 167, 185], "visibl": [12, 85], "chosen": [12, 35, 70], "when": [12, 13, 17, 19, 21, 27, 32, 33, 34, 35, 36, 37, 38, 40, 41, 42, 44, 45, 46, 47, 48, 49, 53, 54, 55, 57, 59, 61, 64, 69, 73, 76, 77, 79, 83, 84, 85, 86, 87, 88, 89, 90, 91, 93, 94, 97, 99, 101, 102, 104, 105, 106, 108, 109, 113, 114, 115, 117, 120, 121, 124, 125, 126, 127, 131, 132, 133, 134, 140, 141, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 160, 162, 164, 165, 166, 167, 176], "anoth": [12, 13, 16, 32, 46, 47, 49, 59, 86, 94, 97, 102, 106, 113, 115, 132, 143, 151, 158, 160, 162, 168, 177, 180], "condition": 12, "assign": [12, 19, 27, 45, 47, 115, 131, 140, 141, 165, 180], "locat": [12, 21, 31, 32, 55, 86, 162, 168, 169, 170, 172, 173, 174, 177, 178, 179, 181, 182, 183], "correspond": [12, 14, 16, 17, 19, 20, 21, 36, 45, 49, 55, 86, 93, 97, 102, 129, 132, 140, 141, 165], "condit": [12, 24, 93, 123, 131, 132, 162], "set": [12, 14, 15, 17, 19, 21, 24, 27, 28, 32, 33, 34, 35, 36, 37, 38, 40, 41, 45, 46, 47, 48, 49, 50, 51, 53, 54, 55, 57, 59, 60, 62, 63, 64, 65, 67, 73, 74, 75, 76, 77, 78, 79, 83, 85, 87, 88, 89, 90, 93, 97, 100, 101, 102, 103, 106, 107, 111, 112, 113, 116, 123, 124, 125, 126, 130, 131, 132, 133, 134, 135, 140, 141, 143, 144, 145, 146, 147, 148, 149, 150, 151, 156, 157, 158, 160, 161, 162, 163, 164, 165, 167, 168, 172, 176, 177], "pcie_lan": [12, 24], "pcie_endpoint": [12, 19, 24], "pcie_endpoint_mod": [12, 24], "third": [12, 19, 21, 50, 64, 102, 113, 115, 162, 168], "statement": 12, "check": [12, 21, 27, 28, 35, 39, 47, 51, 55, 85, 89, 94, 111, 113, 131, 133, 140, 141, 154, 155, 162, 164, 165, 180], "compat": [12, 13, 15, 40, 59, 60, 92, 180], "incompat": 12, "detect": [12, 17, 30, 40, 45, 57, 59, 93, 129, 140, 143, 172, 176, 180], "shell": 12, "rais": [12, 94], "stop": [12, 19, 47, 63, 64, 71, 73, 74, 78, 93, 106, 132, 162], "compil": [12, 13, 20, 24, 32, 162], "freeli": 12, "necessari": [12, 13, 14, 19, 24, 28, 32, 33, 93, 113, 126, 131, 133, 151, 162, 168], "variabl": [12, 40, 59, 144, 145, 148, 156, 157, 158, 160, 161, 162, 163, 164, 165, 167, 176], "environ": [12, 32, 35, 144, 145, 150, 152, 156, 157, 163, 168, 185], "lowest": [12, 40, 51, 75, 76, 77, 78, 102, 114, 115, 126], "prioriti": [12, 40, 54], "ones": [12, 55, 76, 115, 132, 137, 162, 167, 168, 176], "highest": [12, 44, 78, 102, 115, 126, 143, 162], "last": [12, 21, 27, 32, 36, 47, 50, 52, 58, 76, 84, 85, 86, 93, 102, 106, 111, 113, 115, 132, 135, 136, 137, 139, 140, 145, 146, 147, 149, 150, 154, 155, 156, 157, 162, 165, 166, 167, 168, 172, 176], "often": [12, 22, 32, 35, 36, 93, 102, 113, 126, 132, 162], "mechan": [12, 13, 30, 32, 45, 76, 93, 126, 134, 162, 167], "affect": [12, 34, 45, 66, 68, 75, 90, 91, 93], "final": [12, 33, 81, 97, 102, 113, 115, 162, 168], "As": [12, 19, 21, 93, 102, 113, 115, 131, 133, 149], "system": [12, 15, 21, 25, 51, 69, 134], "modular": [12, 131, 133], "hierarch": 12, "organ": [12, 102], "archgrp": [12, 32], "across": [12, 46, 85], "parent": [12, 13, 134, 148, 151, 158, 160, 161, 162], "adjust": [12, 37, 85, 93, 101, 162], "descend": 12, "core_archgrp": 12, "associ": [12, 14, 24, 88, 95, 115, 135, 162], "arrai": [12, 49, 99, 140, 148, 152, 158, 160, 162, 165, 168, 176, 177], "initi": [12, 23, 53, 66, 162], "common": [12, 32, 33, 44, 45, 52, 53, 59, 76, 85, 88, 95, 109, 111, 112, 115, 120, 129, 152, 185], "inc": [12, 32, 162], "clariti": 12, "declar": [12, 27, 32, 76, 94, 102, 126], "entiti": [12, 19, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 46, 48, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 142, 143, 151], "back": [12, 17, 20, 21, 24, 32, 47, 93, 97, 101, 106, 162, 165], "dynam": [12, 14, 21, 27, 32, 140, 141], "combo_user_const": 12, "were": [12, 35, 55, 85, 113, 131, 133, 151, 162], "previous": [12, 47, 93, 94, 113, 124, 132, 140, 141], "vhdlpkggen": 12, "folder": [12, 15, 32, 53, 55, 59, 60, 168, 172, 177, 180], "vhdlpkgstring": 12, "fancy_str": 12, "vhdlpkgint": 12, "some_integ": 12, "vhdlpkgbool": 12, "some_boolean": 12, "important_boolean": 12, "true": [12, 28, 32, 33, 34, 36, 37, 39, 42, 44, 45, 53, 54, 55, 75, 77, 78, 84, 85, 87, 88, 89, 92, 95, 97, 99, 101, 103, 104, 108, 115, 116, 117, 118, 120, 121, 124, 125, 128, 131, 133, 138, 143, 162], "vhdlpkghexvector": 12, "large_vector": 12, "64": [12, 17, 19, 24, 25, 28, 34, 35, 37, 38, 39, 40, 43, 44, 46, 52, 59, 62, 63, 64, 66, 68, 73, 74, 75, 76, 78, 89, 91, 94, 97, 101, 103, 104, 105, 110, 117, 122, 124, 127, 131, 139, 142, 145, 156, 157], "abcdef0123456789": 12, "recommend": [12, 15, 17, 19, 21, 28, 32, 33, 35, 113, 149, 162], "structur": [12, 13, 32, 162, 168, 172, 176, 177], "similar": [12, 72, 113, 129, 132, 168, 172], "relev": [12, 46], "those": [12, 32, 39, 40, 75, 102, 113, 131, 132, 133, 145, 146, 147, 156, 162, 165], "mostli": [12, 32, 102], "ti": [12, 131], "like": [12, 16, 17, 21, 32, 39, 40, 53, 78, 102, 113, 115, 132, 134, 141, 148, 157, 158, 160, 161, 162, 164, 168], "differ": [12, 16, 20, 21, 22, 32, 33, 40, 45, 46, 49, 53, 55, 59, 72, 76, 93, 94, 101, 113, 114, 116, 119, 123, 131, 132, 133, 135, 140, 141, 143, 145, 149, 151, 156, 157, 162, 164, 165, 168, 169], "higher": [12, 14, 45, 46, 78, 85, 113, 115, 123, 132, 143, 145, 156, 157, 162, 176], "ensur": [12, 13, 19, 81, 93, 108, 141], "done": [12, 19, 40, 45, 46, 53, 55, 59, 73, 86, 93, 94, 114, 122, 131, 132, 140, 141, 151, 162, 167], "here": [12, 13, 15, 21, 27, 30, 36, 40, 45, 46, 47, 49, 85, 93, 97, 102, 114, 131, 132, 154, 155, 168, 170, 172, 176, 180], "consid": [12, 13, 17, 21, 45, 47, 77, 78, 102, 115, 125, 126, 162], "transceiv": [12, 13, 21, 129], "most": [12, 24, 32, 35, 47, 60, 85, 93, 94, 102, 114, 115, 120, 126, 131, 162, 168], "either": [12, 32, 57, 59, 61, 82, 85, 86, 93, 94, 102, 113], "vhd": [12, 13, 23, 32, 39, 59, 162], "too": [12, 45, 101, 162], "stage": [12, 32, 39, 47, 86, 96, 106, 112], "major": [12, 14, 102, 113, 132, 145, 151, 156, 157, 167], "variou": [12, 14, 75, 131, 132, 133, 134, 162, 172], "built": [12, 15, 55, 118], "There": [12, 13, 17, 19, 21, 24, 27, 32, 34, 35, 40, 51, 53, 59, 67, 68, 74, 76, 88, 91, 93, 97, 98, 113, 115, 118, 120, 131, 132, 133, 141, 146, 147, 148, 149, 150, 151, 154, 155, 160, 161, 162, 163, 164, 165, 166, 168, 176], "veri": [12, 22, 32, 45, 94, 97, 113, 148, 158, 160, 161, 162], "card_archgrp": 12, "card_root_directori": 12, "src": [12, 23, 78, 116, 125, 162], "concaten": [12, 86, 119], "share": [12, 32, 76, 94, 99, 176], "both": [12, 14, 19, 21, 27, 33, 34, 35, 40, 41, 45, 47, 52, 54, 75, 77, 87, 93, 94, 102, 106, 108, 109, 113, 114, 115, 119, 124, 126, 129, 132, 139, 141, 142, 148, 158, 160, 161, 162, 164, 165], "hand": [12, 102, 126, 140, 141], "subcompon": [12, 13, 21, 23, 32, 77, 133, 162], "application_cor": [12, 19], "choos": [12, 33, 35, 115, 148, 150, 158, 160, 161], "sometim": [12, 21, 32, 46, 47, 132, 162], "launch": [12, 32], "less": [12, 34, 35, 55, 81, 93, 113, 115, 131, 133, 177], "constrain": 12, "about": [12, 13, 15, 19, 21, 24, 32, 40, 45, 51, 52, 53, 64, 70, 103, 104, 105, 106, 115, 131, 135, 149, 162], "visit": [12, 162], "instruct": [12, 15, 20, 21, 32, 45, 62, 63, 77, 101], "_const": 12, "_conf": 12, "throughout": [12, 19, 23], "issu": [12, 40, 55, 71, 97, 113, 162], "goe": [12, 21, 28, 32, 115, 132], "dma_typ": [12, 15, 20], "architectur": [12, 15, 20, 21, 22, 24, 28, 32, 35, 36, 40, 78, 88, 105, 124, 127, 140, 162, 172, 177], "logic": [12, 19, 20, 24, 31, 33, 36, 40, 47, 55, 57, 59, 66, 68, 71, 74, 75, 76, 87, 90, 93, 94, 101, 106, 108, 115, 118, 120, 125, 129, 133, 140, 143, 144, 148, 149, 151, 152, 154, 155, 158, 160, 162, 163, 164, 165, 167, 172, 180], "app_archgrp": 12, "well": [12, 13, 21, 22, 32, 37, 45, 47, 71, 74, 75, 77, 84, 102, 106, 113, 114, 115, 162], "recip": 12, "achiev": [12, 21, 33, 45, 121, 162], "goal": [12, 93], "stai": [12, 53, 78, 85, 89, 102, 106, 113, 115, 116], "application_core_entity_onli": 12, "fals": [12, 24, 32, 35, 36, 37, 42, 44, 45, 46, 53, 54, 59, 68, 77, 85, 87, 88, 90, 92, 97, 99, 100, 101, 104, 112, 115, 116, 117, 119, 120, 124, 125, 127, 131, 133, 135, 136, 143], "custom": [12, 13, 53, 164, 168], "code_archgrp": 12, "my_param_1": 12, "my_param_2": 12, "thu": [12, 24, 45, 46, 47, 51, 94, 102, 109], "my_param": 12, "propag": [12, 32, 40, 42, 44, 45, 46, 47, 49, 75, 86, 90, 100, 101, 106, 114, 140, 141], "fpga_common": [12, 13], "notic": [12, 85, 93, 113, 132], "alreadi": [12, 13, 15, 32, 47, 55, 71, 85, 132, 141], "element": [12, 31, 171], "snippet": 12, "lappend": [12, 32, 162], "entity_name_1": 12, "path_to_entity_1": 12, "full": [12, 17, 28, 32, 35, 36, 47, 59, 68, 74, 88, 91, 93, 94, 97, 106, 107, 141, 162, 172, 180], "field": [12, 45, 86, 93, 131, 135, 145, 156, 157, 175], "entity_name_2": 12, "path_to_entity_2": 12, "archgrp_arr": 12, "thing": [12, 17, 85, 86, 97, 102, 106, 162, 167], "elseif": [12, 32], "overwritten": [12, 32, 101, 106], "commentari": 12, "especi": [12, 13, 17], "els": [12, 33, 113, 115, 116, 133, 148, 151, 157, 160, 161, 162], "avoid": [12, 19, 21, 40, 47, 51, 85, 93, 97, 111], "crash": 12, "unsuccess": 12, "iclud": 12, "pcie_gen": 12, "dma_rx_blocking_mod": 12, "directli": [12, 13, 17, 21, 24, 32, 42, 44, 47, 49, 52, 55, 85, 93, 109, 115, 129, 143, 162], "requier": 12, "belong": [12, 21, 102, 115], "adher": [12, 61, 132, 162], "respect": [12, 32, 35, 40, 51, 70, 85, 94, 113, 115, 126, 132], "suggest": 12, "regard": [12, 45], "miss": 12, "unansw": 12, "question": 12, "valekv": 12, "cz": 12, "we": [13, 15, 16, 17, 19, 21, 24, 40, 43, 46, 49, 79, 93, 94, 106, 113, 115, 162], "content": [13, 54, 64, 67, 70, 128, 131, 132, 133, 165, 177], "util": [13, 15, 21, 46, 113], "softwar": [13, 14, 19, 21, 23, 51, 55, 59, 68, 71, 74, 75, 93, 113, 129, 131, 133, 134, 143], "certain": [13, 46, 85, 115, 180], "extent": 13, "commun": [13, 19, 20, 22, 23, 24, 26, 55, 58, 102, 126, 138, 141, 144, 154, 155, 162, 163, 164, 183], "hw": [13, 17, 62, 63, 64, 68], "close": [13, 20, 46, 183], "insid": [13, 17, 24, 32, 45, 49, 54, 57, 59, 60, 86, 102, 106, 126, 131, 132, 133, 151, 162, 180], "translat": [13, 23, 32, 50, 55], "insert": [13, 19, 21, 32, 39, 62, 63, 77, 79, 86, 87, 90, 100, 101, 129, 131, 133, 141, 168], "form": [13, 16, 32, 33, 53, 85, 93, 94, 98, 102, 115, 129, 132, 134], "current": [13, 14, 20, 21, 22, 24, 32, 34, 36, 39, 42, 45, 47, 50, 55, 57, 59, 60, 64, 70, 71, 74, 80, 84, 85, 86, 88, 89, 91, 93, 94, 97, 102, 103, 104, 106, 109, 111, 113, 126, 131, 132, 133, 138, 140, 141, 149, 162, 164, 177], "revis": [13, 15], "author": [13, 15], "function": [13, 21, 24, 32, 33, 35, 39, 50, 51, 75, 91, 93, 101, 129, 132, 138, 139, 145, 148, 150, 151, 156, 157, 158, 160, 161, 164, 165, 167, 176], "dts_build_project": 13, "dts_build_netcop": 13, "project": [13, 15, 18, 32, 113], "typic": [13, 14, 16, 19, 31, 32, 50, 134, 162, 169, 170, 173, 174, 178, 179, 181, 182, 183], "eg": [13, 124], "type": [13, 16, 19, 21, 22, 24, 27, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 48, 50, 52, 53, 54, 56, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 107, 108, 109, 110, 111, 112, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 141, 142, 143, 145, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 166, 172, 177], "itself": [13, 21, 32, 40, 47, 75, 140], "instanc": [13, 21, 24, 32, 36, 55, 59, 149, 162, 165, 168], "dts_boot_control": 13, "dts_dma_modul": 13, "dts_applic": 13, "pass": [13, 17, 21, 32, 45, 46, 47, 49, 97, 129, 131, 140, 162, 180], "vhdl": [13, 23, 24, 32, 40, 134], "creat": [13, 14, 15, 18, 19, 21, 32, 45, 55, 62, 66, 77, 86, 88, 94, 95, 102, 112, 126, 141, 145, 148, 149, 151, 154, 155, 156, 157, 158, 160, 161, 164, 165, 166, 168, 176], "std_logic_vector": [13, 19, 24, 28, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 46, 48, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 142, 143], "dtb_data": 13, "binari": [13, 32, 46, 102, 115, 176], "represent": [13, 35, 85], "dtc": [13, 15], "compress": [13, 134], "xz": [13, 134], "input": [13, 19, 20, 21, 28, 32, 33, 35, 36, 37, 38, 39, 40, 41, 42, 44, 45, 47, 48, 49, 53, 54, 61, 64, 66, 67, 68, 69, 71, 72, 73, 74, 75, 76, 77, 79, 81, 82, 83, 84, 85, 87, 88, 89, 90, 91, 92, 93, 94, 98, 99, 100, 101, 104, 109, 111, 112, 115, 116, 118, 119, 120, 121, 122, 123, 125, 128, 130, 131, 132, 133, 137, 139, 141, 142, 143, 149, 151, 172, 176, 180], "pci_ext_cap": [13, 24, 183], "next": [13, 32, 40, 46, 47, 59, 78, 84, 85, 86, 88, 91, 93, 101, 102, 106, 113, 115, 121, 125, 126, 132, 134, 149, 154, 155, 162, 167], "constant": [13, 17, 48, 62, 75, 86, 93, 102, 109, 115, 126, 131, 133, 176], "cannot": [13, 36, 40, 47, 85, 94, 106, 130, 133, 141, 149, 162, 164, 167, 176], "user_const": [13, 32], "consist": [13, 20, 26, 46, 55, 69, 86, 93, 94, 96, 101, 106, 115, 126, 132, 140, 141, 162], "need": [13, 27, 32, 36, 40, 46, 47, 48, 50, 52, 53, 55, 59, 60, 67, 73, 79, 85, 93, 94, 102, 115, 124, 125, 132, 133, 134, 141, 149, 162, 176, 180], "blob": [13, 134], "pci": [13, 15, 24, 50, 71, 74, 75, 113, 134, 135, 137], "extens": [13, 24, 32, 79, 134, 164], "vsec": [13, 24, 134], "extend": [13, 24, 81, 85, 86, 93, 101, 129, 134, 148, 151, 158, 160, 161, 162, 176, 178], "rel": [13, 32], "small": [13, 47, 86, 102, 132, 151, 162, 177, 180], "few": [13, 27, 32, 34, 35, 36, 59, 80, 86, 93, 94, 103, 128, 168], "regist": [13, 15, 21, 23, 24, 26, 31, 33, 34, 36, 37, 39, 40, 42, 44, 45, 46, 47, 49, 51, 53, 55, 57, 58, 59, 60, 66, 68, 73, 75, 77, 78, 85, 88, 93, 95, 97, 103, 111, 112, 113, 115, 116, 118, 119, 120, 124, 128, 129, 132, 134, 136, 140, 142, 143, 162, 172, 176, 177, 180], "store": [13, 17, 32, 34, 35, 36, 39, 40, 45, 46, 47, 49, 54, 62, 72, 74, 85, 91, 93, 103, 106, 113, 131, 133, 134, 140, 141, 146, 147, 162, 176, 180], "bram": [13, 34, 35, 54, 72, 103, 105, 106, 108, 124, 127, 128, 172, 177], "mi": [13, 17, 19, 20, 21, 24, 26, 28, 46, 51, 52, 55, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 143, 152, 162, 180, 183], "accident": 13, "design": [13, 15, 19, 21, 23, 27, 35, 40, 41, 44, 55, 62, 66, 70, 74, 75, 76, 102, 126, 129, 132, 141, 162, 180], "nfb0": [13, 59], "ref_nam": 13, "popul": 13, "empti": [13, 32, 35, 36, 47, 79, 102, 146, 147, 167, 172], "my_comp": 13, "dts_my_comp": 13, "reg": [13, 53, 85, 92, 112, 140], "indic": [13, 27, 34, 59, 70, 84, 86, 93, 102, 111, 113, 115, 126, 135, 142, 162], "intern": [13, 17, 19, 20, 36, 40, 45, 47, 55, 72, 73, 74, 75, 77, 87, 93, 97, 102, 106, 118, 126, 132, 148, 158, 160, 162], "size": [13, 17, 19, 24, 28, 35, 37, 38, 45, 46, 47, 50, 62, 63, 64, 66, 68, 70, 73, 74, 75, 77, 79, 81, 82, 86, 87, 88, 90, 91, 92, 93, 94, 95, 97, 98, 100, 101, 104, 105, 131, 133, 134, 136, 138, 145, 148, 151, 156, 157, 158, 160, 162, 164, 167, 168, 180], "submodul": [13, 131, 133, 183], "bound": 13, "string": [13, 19, 24, 28, 32, 33, 34, 35, 36, 38, 39, 42, 43, 44, 46, 52, 56, 59, 61, 62, 63, 64, 66, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 85, 86, 87, 88, 90, 91, 92, 93, 94, 95, 96, 97, 100, 101, 103, 104, 105, 107, 108, 109, 110, 112, 115, 116, 117, 119, 121, 122, 123, 124, 125, 127, 128, 131, 133, 135, 138, 139, 143, 148, 151, 158, 160, 161, 162], "node": [13, 15], "subnod": 13, "mandatori": [13, 32, 86], "item": [13, 19, 21, 34, 35, 36, 40, 42, 43, 44, 45, 46, 50, 55, 77, 78, 79, 80, 81, 82, 86, 87, 88, 89, 90, 91, 93, 94, 95, 96, 97, 99, 100, 101, 102, 103, 104, 105, 113, 115, 116, 117, 118, 120, 121, 122, 123, 124, 125, 126, 127, 128, 131, 133, 135, 138, 151, 152, 161, 162, 163, 164, 181], "work": [13, 14, 17, 19, 21, 32, 36, 40, 45, 47, 51, 52, 53, 54, 56, 62, 69, 78, 93, 94, 106, 113, 115, 116, 120, 131, 133, 141, 148, 151, 158, 160, 164, 167], "without": [13, 14, 17, 19, 20, 21, 24, 34, 47, 55, 76, 85, 86, 90, 102, 103, 108, 124, 126, 131, 132, 133, 135, 139, 149, 162, 176], "term": [13, 15, 16, 126], "addit": [13, 21, 24, 32, 37, 76, 108, 176, 177], "larg": [13, 32, 46, 47, 55, 89, 93, 132, 162], "possibli": [13, 102], "base_address": 13, "0x40": [13, 58, 131], "0x00010004": 13, "reduc": [13, 47, 53, 59, 94, 114], "v1": 13, "22": [13, 15, 59, 131], "94": [13, 15], "07": [13, 15], "sc": [13, 15, 162], "edit": [13, 15, 57, 59], "repli": [13, 15, 164], "liberout": [13, 15, 154, 155], "org": [13, 15, 154, 155], "95415f0": 13, "0x65c33529": 13, "n6010": [13, 15], "ndk_minim": [13, 15], "mi0": 13, "cell": 13, "0x01": [13, 78, 131, 133], "resourc": [13, 40, 47, 53, 59, 86, 88, 94, 115, 123, 124, 125, 131, 172, 176, 177], "pci0": 13, "bar0": [13, 24, 138], "width": [13, 15, 19, 21, 24, 25, 33, 34, 35, 36, 37, 38, 39, 41, 42, 44, 45, 46, 49, 52, 53, 54, 55, 56, 57, 58, 59, 62, 63, 64, 66, 68, 72, 73, 74, 75, 76, 77, 78, 79, 81, 82, 86, 88, 90, 91, 93, 95, 96, 97, 100, 101, 102, 103, 104, 105, 108, 109, 110, 111, 113, 114, 115, 116, 118, 119, 120, 121, 122, 123, 124, 125, 127, 131, 133, 135, 138, 139, 141, 143, 150, 154, 155, 162, 164, 165, 166, 172, 176], "0x20": [13, 58, 78, 131, 133, 143], "0x2000": [13, 21], "0x1000": [13, 55], "0x00": [13, 57, 58, 78, 87, 93, 97, 109, 111, 131, 133, 134, 143], "0x100": [13, 77], "0x4000": [13, 55], "dma_params_rx0": 13, "frame_size_max": 13, "0x3fff": 13, "frame_size_min": 13, "0x3c": [13, 58, 131], "phandl": 13, "dma_params_tx0": 13, "0x02": [13, 131, 133], "0x1000000": 13, "0x80": [13, 131], "0x20000": 13, "param": [13, 162], "0x1000080": 13, "0x1000100": 13, "0x1000180": 13, "0x1000200": 13, "0x1000280": 13, "0x1000300": 13, "0x1000380": 13, "0x1000400": 13, "0x1000480": 13, "0x1000500": 13, "0x1000580": 13, "0x1000600": 13, "0x1000680": 13, "0x1000700": 13, "0x1000780": 13, "0x1200000": 13, "0x1200080": 13, "0x1200100": 13, "0x1200180": 13, "0x1200200": 13, "0x1200280": 13, "0x1200300": 13, "0x1200380": 13, "0x1200400": 13, "0x1200480": 13, "0x1200500": 13, "0x1200580": 13, "0x1200600": 13, "0x1200680": 13, "0x1200700": 13, "0x1200780": 13, "0x3010": 13, "0x08": [13, 57, 58, 78, 93, 97, 111, 131, 133, 134, 143], "0x04": [13, 57, 58, 78, 87, 93, 97, 109, 111, 131, 133, 134, 143], "0x301c": 13, "0x10000": [13, 129], "0x03": [13, 109, 131], "pmd0": 13, "statu": [13, 19, 23, 24, 26, 28, 35, 51, 53, 59, 66, 73, 77, 85, 93, 105, 111, 127, 129, 131, 133, 138, 139, 162, 172], "addr": [13, 59, 60, 62, 64, 68, 71, 113, 114, 133, 162, 164, 168], "0xa0": 13, "0x3110": 13, "0x06": 13, "0x311c": 13, "0x05": 13, "pmd1": 13, "0x0d": 13, "0x800000": 13, "0x40000": 13, "0x07": [13, 109], "pcspma0": 13, "0x09": 13, "e_til": [13, 28], "tx_mac_lit": [13, 21, 133], "speed": [13, 14, 15, 16, 17, 21, 26, 27, 28, 68, 74, 77, 85, 93, 97, 121, 129, 130, 131, 133, 141, 149, 162, 168, 176], "100": [13, 14, 16, 20, 21, 24, 26, 28, 45, 130, 131, 133, 142, 143, 148, 158, 160, 162, 164], "0x8000": 13, "0x200": [13, 21], "mtu": [13, 19, 77, 131], "0x0b": 13, "rx_mac_lit": [13, 21, 32, 131], "0x8200": 13, "0x0a": 13, "eth0": 13, "pmd": [13, 21, 23, 28, 129], "pcspma": 13, "line": [13, 14, 15, 16, 35, 68, 162], "0xa00000": 13, "0x0c": [13, 57, 58, 76, 78, 93, 111, 131, 133, 134, 143], "pcspma1": 13, "0x0e": 13, "0xa000": 13, "0x10": [13, 57, 58, 78, 93, 111, 131, 133, 134, 143], "0xa200": 13, "0x0f": 13, "eth1": 13, "0x2c": [13, 58, 131, 133], "boot_en": 13, "0xc000": [13, 55], "0x2000000": 13, "0x2800000": 13, "ddr_tester_0": 13, "0x3000000": 13, "ddr_tester_1": 13, "0x3020000": 13, "ddr_tester_2": 13, "0x3040000": 13, "ddr_tester_3": 13, "0x3060000": 13, "ddr_logger_0": 13, "0x3080000": 13, "0x30": [13, 131, 133], "ddr_logger_1": 13, "0x30a0000": 13, "ddr_logger_2": 13, "0x30c0000": 13, "ddr_logger_3": 13, "0x30e0000": 13, "0x5000": 13, "0x5080": 13, "0x50c0": 13, "0x5200": 13, "0x5280": 13, "0x52c0": 13, "uniqu": [13, 19, 21, 50, 134, 140, 141, 162], "identifi": [13, 113, 129, 134, 162], "With": [13, 36, 47, 59, 85, 113, 162, 176], "referenc": 13, "know": [13, 40, 85, 106, 162], "familiar": 13, "libfdt": [13, 15], "librari": [13, 14, 32, 53, 152], "syntax": 13, "hi": [13, 145, 146, 147, 154, 155, 156, 166], "ie": 13, "account": [13, 47, 85], "Such": [13, 40, 49, 85, 151], "do": [13, 15, 17, 21, 27, 32, 35, 36, 78, 85, 93, 94, 97, 106, 115, 116, 117, 131, 135, 138, 151, 162, 168, 176], "modif": [13, 81, 132], "bug": [13, 162], "fix": [13, 27, 47, 126, 162, 164], "increas": [13, 40, 45, 62, 90, 93, 94, 98, 101, 114, 131, 132, 145, 156, 157, 176], "minor": 13, "present": [13, 19, 32, 40, 47, 55, 85, 90, 94, 105, 124, 127, 134], "ideal": [13, 47, 93], "entity_bas": [13, 32, 162], "updat": [13, 32, 40, 51, 57, 62, 63, 64, 71, 86, 140], "match": [13, 129, 131, 162], "otherwis": [13, 32, 34, 47, 87, 93, 106, 120, 131, 133, 148, 158, 160, 161, 162], "correctli": [13, 15, 19, 85, 93, 114, 131, 149, 162], "import": [13, 32, 85, 94, 148, 158, 160, 162], "main": [13, 14, 21, 24, 32, 40, 45, 49, 50, 76, 91, 97, 129, 131, 133, 141, 143, 145, 156, 157, 166], "univers": [14, 34, 35, 39, 172, 176, 177], "czech": 14, "republ": 14, "academi": 14, "scienc": 14, "primarili": [14, 116], "probe": [14, 59, 85], "monitor": [14, 46, 129, 152, 175, 180], "backbon": 14, "fw": [14, 168], "amd": [14, 75, 154, 155, 166], "md": [14, 15, 55, 59], "manufactur": [14, 15], "agilex": [14, 21, 22, 32, 33, 34, 35, 42, 44, 51, 59, 79, 80, 81, 85, 86, 91, 93, 96, 97, 100, 107, 109, 110, 121, 123, 124, 125, 128, 131, 133, 138, 139, 172, 177], "stratix": [14, 15, 21, 22, 24, 51, 59, 131, 133, 172, 177, 180], "32b": [14, 143], "data": [14, 15, 16, 17, 19, 20, 21, 24, 26, 34, 35, 36, 37, 39, 40, 41, 42, 44, 45, 46, 47, 50, 52, 57, 58, 59, 60, 62, 64, 65, 67, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 85, 86, 87, 88, 89, 91, 92, 93, 96, 97, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 113, 114, 115, 116, 118, 119, 120, 121, 124, 125, 126, 127, 129, 131, 133, 134, 135, 138, 139, 141, 143, 144, 145, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 163, 164, 165, 166, 167, 168, 170, 172, 176, 177, 180], "transfer": [14, 15, 19, 20, 21, 23, 24, 26, 30, 40, 45, 76, 93, 101, 102, 109, 113, 126, 128, 165, 176, 180], "alwai": [14, 15, 21, 23, 24, 45, 51, 61, 65, 67, 93, 113, 114, 115, 126, 131, 132, 162, 164], "100gbase": 14, "handl": [14, 20, 23, 24, 32, 54, 59, 81, 132, 148, 158, 160, 167], "10gbase": 14, "25gbase": 14, "even": [14, 19, 21, 40, 45, 48, 49, 53, 59, 78, 86, 93, 113, 116, 162], "No": [14, 20, 76, 124, 140, 148, 158, 160], "layer": [14, 16, 129, 131, 133, 141], "l2": [14, 17], "frame": [14, 17, 19, 21, 28, 50, 69, 70, 71, 74, 76, 77, 78, 81, 82, 84, 88, 90, 92, 94, 95, 99, 100, 102, 105, 106, 116, 131, 132, 133, 135, 141, 146, 147, 149, 150, 151, 154, 155, 162, 166, 167, 178], "crc": [14, 17, 19, 21, 50, 131, 133], "ad": [14, 32, 39, 55, 59, 75, 79, 86, 93, 131, 132, 142, 162], "16383": [14, 28, 77], "b": [14, 45, 49, 59, 76, 86, 113, 132, 151, 162], "maximum": [14, 17, 19, 24, 25, 28, 33, 36, 40, 45, 46, 47, 48, 52, 53, 55, 64, 66, 68, 73, 75, 77, 78, 79, 81, 82, 86, 89, 93, 100, 101, 103, 104, 107, 123, 126, 131, 133, 138, 143, 145, 148, 151, 156, 157, 158, 160, 161, 167], "mac": [14, 17, 19, 23, 28, 32, 50, 78, 129, 152, 182], "discard": [14, 19, 21, 28, 50, 64, 69, 79, 81, 84, 85, 101, 107, 131, 133, 151, 162, 178, 181], "larger": [14, 33, 36, 39, 53, 57, 59, 94], "1522": 14, "limit": [14, 28, 35, 59, 102, 113, 116, 123, 126, 164, 178], "Not": [14, 77, 97, 102, 126, 135], "low": [14, 20, 24, 40, 45, 78, 93, 101, 116, 131, 133, 143, 144, 149, 152, 154, 155, 159, 163, 167], "calypt": [14, 20, 66, 73, 183], "under": [14, 20, 55, 93, 148, 158, 160, 161, 162], "compon": [14, 17, 19, 21, 23, 25, 31, 35, 36, 39, 41, 45, 46, 47, 48, 51, 55, 57, 60, 62, 64, 65, 67, 69, 70, 71, 72, 74, 77, 78, 79, 80, 81, 82, 83, 84, 86, 87, 89, 91, 92, 93, 94, 96, 97, 98, 100, 101, 103, 104, 105, 108, 109, 110, 111, 113, 114, 116, 118, 119, 120, 121, 122, 123, 124, 125, 126, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 142, 143, 145, 149, 151, 154, 155, 156, 157, 162, 166, 167, 168, 170, 173, 174, 176, 177, 178, 179, 180, 181, 182, 183, 185], "four": [14, 21, 93, 102, 113, 131, 133, 148, 160, 161, 164], "signal": [14, 19, 21, 27, 30, 36, 37, 41, 42, 44, 45, 47, 48, 51, 53, 56, 59, 61, 62, 63, 64, 66, 68, 69, 70, 73, 74, 75, 78, 85, 92, 93, 94, 96, 97, 100, 102, 103, 104, 105, 106, 107, 109, 113, 114, 115, 116, 117, 121, 122, 129, 131, 132, 133, 135, 136, 137, 138, 139, 143, 148, 149, 151, 154, 155, 158, 160, 161, 162, 163, 164, 165, 166, 167, 168, 172, 176, 177, 178, 180], "200": [14, 28, 46, 93, 129, 164], "300": 14, "mhz": [14, 24, 46, 75, 93, 129], "100mhz": 14, "200mhz": 14, "slower": [14, 15, 21], "degrad": [14, 129], "overal": [14, 40, 102], "api": [14, 19, 23], "separ": [14, 16, 21, 32, 40, 53, 59, 74, 83, 102, 104, 116, 126, 131, 133, 140, 141, 151, 162, 183], "unfortun": [14, 93, 162], "rate": [14, 17, 20, 129, 178], "gbp": [14, 16, 17, 20, 93, 129, 131, 133], "rather": [14, 113], "try": [14, 21, 40, 59, 115], "expans": [14, 81, 138], "rtl": 14, "step": [15, 17, 27, 32, 47, 57, 64, 76, 149, 162, 168], "rhel": 15, "o": [15, 59, 175], "rocki": 15, "dnf": [15, 55], "your_card": 15, "modifi": [15, 17, 32, 40, 54, 81, 93, 94, 114, 149, 151, 162, 168, 176], "app_conf": [15, 55], "instead": [15, 19, 32, 35, 36, 79, 85, 88, 94, 97, 102, 115, 148, 158, 160, 161, 162], "wait": [15, 46, 55, 57, 59, 60, 85, 89, 101, 109, 122, 124, 132, 133, 140, 141, 151, 162, 165], "until": [15, 17, 45, 47, 49, 55, 57, 59, 60, 78, 84, 85, 86, 93, 109, 113, 122, 141, 149, 162, 165, 167, 172], "successfulli": [15, 45, 131, 133, 162], "finish": [15, 59, 162], "nfw": 15, "pcie_conf": [15, 24], "1xgen4x16": 15, "board": [15, 19, 26, 28], "proper": [15, 19, 21, 132], "plug": 15, "slot": [15, 24, 26], "pre": [15, 49, 51], "deb": 15, "experi": 15, "over": [15, 19, 21, 23, 40, 46, 47, 50, 59, 78, 86, 93, 112, 131, 132, 170, 176], "malfunct": 15, "expect": [15, 28, 40, 55, 85, 96, 113, 115, 162], "method": [15, 116, 151, 154, 155, 162, 166], "pleas": [15, 17, 113, 144, 162, 163], "upload": [15, 55], "dx": 15, "copi": [15, 18, 81, 94, 117, 145, 154, 155, 156, 157, 166, 168], "your_ndk_firmwar": 15, "f0": 15, "seen": [15, 45, 53], "combo": 15, "serial": [15, 16, 21, 24, 28, 47, 51, 86, 129], "2024": 15, "02": 15, "08": 15, "45": [15, 129], "queue": [15, 16, 36, 97], "endpoint": [15, 19, 20, 24, 50, 66, 68, 69, 72, 73, 75, 138], "0000": [15, 36, 102, 113, 126, 137, 139], "gt": [15, 129], "numa": 15, "want": [15, 17, 21, 27, 37, 40, 46, 63, 85, 93, 94, 113, 115, 122, 131, 162, 168], "learn": [15, 19, 21, 162], "frequent": [15, 16, 45], "explain": [16, 21, 115, 162, 168], "physic": [16, 20, 21, 129, 166], "optic": [16, 129], "cage": 16, "protocol": [16, 21, 23, 76, 108, 144, 162, 163, 164, 167, 170], "standard": [16, 24, 32, 50, 68, 74, 85, 115, 124, 129, 131, 133, 149, 162, 176], "gbe": 16, "25": [16, 19, 21, 28, 33, 50, 129], "28": [16, 19, 21, 50, 131], "togeth": [16, 32, 45, 46, 49, 50, 94, 98, 106, 113, 115, 126, 134, 141, 148, 157, 160, 162, 165, 167, 176], "enough": [16, 21, 47, 93, 102, 162, 164], "group": [16, 102, 116, 162], "transmit": [16, 17, 24, 25, 26, 28, 30, 50, 70, 74, 91, 93, 96, 97, 102, 117, 122, 124, 125, 126, 129, 131, 132, 133, 149, 166, 182], "therefor": [16, 20, 21, 46, 57, 59, 76, 80, 86, 93, 101, 102, 113, 115, 126, 143, 162], "transmiss": [16, 17, 26, 61, 76, 78, 91, 102, 121, 123, 126, 132, 133, 162], "hdl": [17, 162], "execut": [17, 23, 32, 45, 54, 55, 114, 117, 124], "verif": [17, 32, 93, 125, 150, 151, 152, 154, 155, 164, 167, 168], "mainli": [17, 24, 32, 47], "uvm": [17, 125, 148, 152, 158, 160, 161, 164, 165], "simul": [17, 32, 47, 59, 76, 93, 101, 158, 162, 165, 167, 180, 185], "almost": [17, 35, 36, 47, 91, 97, 107, 172, 180], "cocotb": 17, "yet": [17, 20, 21, 25, 34, 74, 75, 109, 113], "publicli": 17, "among": [17, 19, 21, 23], "devicetre": [17, 19, 23, 24], "offset": [17, 21, 47, 51, 62, 77, 86, 91, 93, 97, 100, 109, 129, 131, 133, 134], "0x0": [17, 77, 115, 116, 129], "byte": [17, 19, 21, 24, 28, 46, 47, 50, 62, 63, 64, 66, 67, 68, 69, 70, 72, 73, 74, 75, 76, 77, 78, 79, 81, 86, 93, 100, 108, 109, 113, 114, 116, 131, 133, 134, 135, 138, 139, 143, 148, 152, 154, 155, 156, 157, 158, 160, 161, 164, 166, 168, 177, 183], "0x42": 17, "00000000": 17, "00000042": 17, "similarli": [17, 32, 74], "futur": [17, 42, 81, 103, 164], "meter": [17, 77, 168, 170], "datapath": 17, "come": [17, 21, 24, 40, 45, 69, 71, 101, 102, 113, 129, 132, 154, 155, 162], "mfb_tool": [17, 178], "gls_mod": 17, "port_list": 17, "black": 17, "hole": [17, 164], "ext": 17, "length": [17, 19, 21, 28, 41, 45, 46, 47, 50, 59, 66, 68, 73, 75, 78, 80, 81, 86, 93, 94, 100, 101, 102, 104, 116, 126, 131, 132, 133, 134, 139, 146, 147, 151, 176], "continu": [17, 20, 25, 36, 46, 57, 74, 76, 106, 115, 132, 137, 167], "repeat": [17, 49, 57, 93, 140, 162, 167], "increment": [17, 21, 38, 40, 53, 54, 59, 71, 78, 91, 97, 113, 116, 131, 143, 164], "reach": [17, 45, 46, 47, 69, 75, 91, 93, 140], "simpli": [17, 32, 41, 97, 162], "pma": [17, 21, 23, 28, 131, 133, 152], "local": [17, 19, 55, 165], "calcul": [17, 19, 32, 34, 47, 52, 53, 70, 93, 97, 101, 102, 116, 126, 131, 133, 136, 140, 151, 162, 165, 176, 178, 180], "destin": [17, 19, 21, 45, 50, 76, 78, 89, 92, 116, 118, 119, 121, 131, 133, 135, 165, 176, 180], "end": [17, 19, 32, 40, 46, 47, 53, 55, 56, 59, 61, 69, 76, 81, 84, 85, 86, 87, 93, 94, 97, 102, 113, 115, 131, 132, 133, 135, 146, 147, 148, 149, 150, 151, 154, 155, 160, 161, 162, 165, 167, 172], "payload": [17, 19, 24, 88, 95, 136, 138], "71": 17, "43": 17, "79": 17, "31": [17, 19, 21, 24, 25, 50, 76, 78, 116, 129, 131, 133, 134, 138, 143, 154, 155], "128": [17, 61, 64, 67, 76, 90, 94, 125, 128, 133, 138, 139, 145, 148, 156, 157, 158, 160, 161], "rang": [17, 19, 21, 23, 25, 27, 28, 46, 50, 54, 59, 60, 97, 115, 126, 143, 154, 155, 165], "report": [17, 35, 53], "pip": 18, "txt": 18, "let": [18, 40, 47, 93, 94, 113, 115], "proj_onli": [18, 32], "ndk": [19, 20, 21, 22, 23, 24, 55, 75, 102, 154, 155], "deep": [19, 34, 103], "pipelin": [19, 39, 45, 47, 86, 92, 94, 109, 115, 129, 149, 162, 180], "defin": [19, 21, 32, 35, 39, 40, 45, 46, 51, 54, 56, 66, 68, 73, 75, 76, 86, 93, 101, 105, 107, 113, 115, 124, 127, 129, 133, 138, 149, 162, 164, 166, 168], "devic": [19, 23, 24, 27, 28, 32, 33, 34, 35, 36, 38, 42, 43, 44, 45, 46, 51, 52, 55, 56, 59, 60, 61, 62, 63, 64, 66, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 85, 86, 87, 88, 90, 91, 92, 93, 94, 95, 96, 97, 100, 101, 103, 104, 105, 107, 108, 109, 110, 112, 115, 116, 117, 119, 121, 122, 123, 124, 125, 127, 128, 129, 131, 133, 135, 138, 139, 143, 162], "cpu": 19, "ddr4": [19, 22, 170], "csr": [19, 21, 23, 26], "header": [19, 21, 47, 50, 62, 63, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 86, 88, 95, 100, 104, 129, 134, 136, 141, 150, 151, 162, 166, 168, 180, 183], "metadata": [19, 21, 25, 37, 42, 44, 45, 49, 61, 62, 63, 64, 74, 75, 77, 79, 80, 81, 82, 86, 87, 88, 89, 91, 93, 94, 96, 97, 100, 101, 103, 104, 105, 108, 109, 113, 123, 131, 148, 160, 164, 168, 178], "clock": [19, 21, 24, 25, 27, 28, 30, 32, 33, 34, 35, 36, 38, 39, 41, 42, 44, 45, 46, 47, 48, 53, 54, 59, 61, 62, 63, 66, 73, 76, 77, 79, 81, 82, 83, 84, 85, 86, 87, 89, 90, 91, 92, 93, 94, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 108, 109, 110, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 125, 126, 128, 129, 131, 133, 138, 141, 143, 144, 149, 150, 154, 155, 162, 163, 164, 165, 166, 167, 177, 178, 180, 181], "equal": [19, 28, 33, 54, 59, 93, 101, 102, 113, 115, 143, 162], "prepar": [19, 32, 146, 147, 150, 164], "distribut": [19, 34, 43, 47, 113, 116, 126, 129, 145, 149, 156, 157, 167, 176], "strongli": [19, 21, 32, 162], "enter": [19, 21, 24, 132, 151], "eth_rx_": 19, "carri": [19, 21, 113, 115, 176], "actual": [19, 21, 35, 36, 40, 45, 46, 66, 68, 73, 86, 93, 115, 131, 133, 143, 162, 164, 165], "though": [19, 21, 49, 102, 115], "_dst_rdy": [19, 21, 76], "perman": [19, 21], "buffer": [19, 21, 24, 45, 47, 57, 59, 60, 62, 63, 64, 68, 70, 71, 73, 74, 75, 81, 91, 97, 101, 106, 125, 129, 131, 132, 133, 178, 180], "fifo": [19, 21, 30, 31, 34, 35, 36, 38, 45, 46, 47, 49, 51, 56, 68, 76, 77, 88, 90, 91, 94, 95, 97, 103, 105, 106, 107, 108, 119, 122, 123, 124, 127, 129, 140, 141, 146, 147, 149, 150, 152, 180], "fill": [19, 21, 32, 45, 46, 57, 60, 106, 132, 139, 149], "stuck": [19, 21, 138, 162, 168, 180], "eth_hdr_pack": [19, 21, 50, 131], "hdr": [19, 21, 50, 74, 166], "global": [19, 21, 32, 47, 50, 75, 129, 139], "24": [19, 21, 46, 50, 59, 64, 68, 71, 74, 75, 87, 101, 102, 124, 162], "mask": [19, 21, 42, 44, 46, 50, 66, 85, 89, 102, 106, 110, 118, 126, 131], "OR": [19, 21, 50, 115, 176], "errorfram": [19, 21, 50], "26": [19, 21, 23, 50, 52, 59], "errormintu": [19, 21, 50], "mintu": [19, 21, 50, 131], "27": [19, 21, 50, 131], "errormaxtu": [19, 21, 50], "maxtu": [19, 21, 50], "errorcrc": [19, 21, 50], "29": [19, 21, 50], "errormac": [19, 21, 50], "30": [19, 21, 50], "broadcast": [19, 21, 50], "multicast": [19, 21, 50, 131], "hitmacvld": [19, 21, 50], "hit": [19, 21, 50], "tcam": [19, 21, 28, 50], "33": [19, 21, 50, 129, 135], "36": [19, 21, 50], "hitmac": [19, 21, 50], "index": [19, 21, 36, 40, 50, 53, 57, 59, 64, 70, 113, 115, 126, 132, 134, 176], "37": [19, 21, 50], "timestampvld": [19, 21, 50], "timestamp": [19, 21, 23, 50, 91, 131, 142, 143, 169, 178], "38": [19, 21, 50], "101": [19, 21, 50, 142, 143], "doc": [19, 21, 50], "draft": [19, 21, 23, 50], "sent": [19, 20, 21, 24, 47, 57, 62, 63, 64, 66, 73, 75, 78, 84, 85, 97, 98, 104, 111, 113, 115, 124, 131, 132, 133, 145, 149, 156, 157, 158, 162, 164], "eth_tx_mfb_": 19, "special": [19, 21, 32, 47, 86, 180], "eth_tx_mfb_hdr": 19, "region": [19, 28, 61, 65, 67, 68, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 105, 131, 133, 135, 138, 144, 148, 158, 160, 161, 162, 163, 180], "further": [19, 21, 23, 32, 106], "minimum": [19, 21, 28, 32, 34, 47, 52, 55, 75, 78, 86, 88, 93, 95, 103, 116, 123, 131, 133, 145, 156, 157, 167], "60b": [19, 21, 81, 132, 133], "pad": [19, 55], "previou": [19, 21, 40, 47, 59, 85, 86, 94, 113, 115, 132, 140, 141, 158, 162, 165, 168], "dma_tx_": 19, "mvb_data": 19, "mvb_len": 19, "mvb_hdr_meta": 19, "mvb_channel": 19, "determin": [19, 34, 35, 36, 53, 72, 81, 86, 88, 93, 102, 105, 113, 115, 117, 121, 127, 131, 133, 135], "presenc": [19, 49, 94, 126, 135], "dma_tx_mvb_hdr_meta": [19, 77], "hdr_len": 19, "11": [19, 33, 52, 53, 58, 74, 76, 113, 115, 129, 136, 139], "hdr_id": 19, "identif": [19, 134, 180], "definit": [19, 32, 40, 57, 58, 59, 131, 133], "ndp": 19, "dma_rx_": 19, "role": 19, "mvb_discard": [19, 118, 162], "dma_rx_mvb_hdr_meta": [19, 77], "orient": [19, 23, 35, 148, 158, 160], "wire": [19, 23, 35, 109, 112, 127, 138, 162, 176, 180], "alloc": [19, 24], "interconnect": [19, 26, 87], "good": [19, 32, 93, 162], "idea": [19, 32, 46, 86, 162], "inspir": [19, 93, 162], "tabl": [19, 21, 59, 102, 115, 116, 126, 144, 145, 148, 156, 157, 158, 160, 161, 162, 163, 168, 176, 181], "eth_stream": [19, 28], "natur": [19, 24, 28, 33, 34, 35, 36, 38, 39, 42, 44, 46, 48, 61, 66, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 86, 87, 88, 89, 90, 91, 93, 94, 96, 97, 99, 100, 101, 102, 103, 104, 105, 107, 108, 109, 110, 111, 116, 117, 118, 119, 121, 122, 123, 124, 125, 126, 127, 128, 131, 133, 135, 138, 139, 154, 155, 166], "eth_channel": 19, "per": [19, 21, 24, 25, 28, 30, 42, 44, 45, 85, 86, 93, 97, 100, 102, 116, 125, 143, 150, 151, 166, 167], "eth_mfb_region": 19, "eth_mfb_region_s": 19, "dma_stream": 19, "dma_rx_channel": 19, "dma_tx_channel": 19, "dma_hdr_meta_width": 19, "dma_rx_frame_size_max": 19, "dma_tx_frame_size_max": 19, "dma_mfb_region": 19, "dma_mfb_region_s": 19, "mfb_region": [19, 71, 72, 79, 81, 86, 88, 89, 90, 91, 93, 95, 97, 100, 101, 103, 104, 107, 123, 135, 138], "deprec": 19, "mfb_reg_siz": [19, 88, 95, 103], "mfb_block_siz": [19, 71, 72, 79, 81, 86, 88, 89, 90, 91, 93, 95, 97, 100, 101, 103, 104, 107, 123, 135, 138], "mfb_item_width": [19, 71, 72, 79, 81, 86, 88, 89, 90, 91, 93, 95, 97, 100, 101, 103, 104, 107, 123, 135, 138], "hbm_port": 19, "hbm": 19, "hbm_addr_width": 19, "axi": [19, 24, 110, 135, 139, 141, 152, 158], "hbm_data_width": 19, "256": [19, 37, 39, 59, 75, 76, 116, 135, 138, 168], "hbm_burst_width": 19, "hbm_id_width": 19, "id": [19, 21, 24, 27, 28, 49, 50, 51, 81, 85, 86, 97, 111, 130, 131, 139, 140, 141], "hbm_len_width": 19, "len": 19, "hbm_size_width": 19, "hbm_resp_width": 19, "resp": 19, "mem_port": 19, "emif": [19, 22, 57, 59, 60], "mem_addr_width": [19, 52], "avmm": [19, 21, 109], "mem_burst_width": [19, 52], "mem_data_width": [19, 52], "512": [19, 34, 36, 38, 44, 52, 59, 75, 76, 77, 103, 104, 105, 107, 127, 135, 138, 162], "mem_refr_period_width": 19, "refresh": [19, 59], "period": [19, 32, 48, 59, 61, 85, 89, 97, 101, 129, 176, 180], "mem_def_refr_period": 19, "integ": [19, 32, 37, 40, 42, 43, 44, 52, 53, 54, 56, 59, 62, 63, 64, 65, 67, 85, 88, 89, 90, 92, 94, 95, 96, 98, 100, 101, 103, 112, 113, 115, 120, 143, 162, 165], "amm_freq_khz": [19, 52, 59], "266660": [19, 52, 59], "freq": [19, 58, 97], "amm": [19, 57, 58, 59, 60], "mi_data_width": [19, 28, 52, 53, 57, 59, 93, 97, 110, 116, 138], "mi_addr_width": [19, 28, 52, 53, 59, 93, 97, 116, 138], "fpga_id_width": 19, "reset_width": [19, 28], "reset": [19, 24, 25, 28, 30, 33, 34, 35, 36, 37, 38, 39, 41, 42, 44, 45, 46, 48, 53, 54, 58, 59, 60, 62, 63, 64, 66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 104, 105, 106, 108, 109, 110, 111, 112, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 136, 138, 142, 143, 148, 151, 152, 154, 155, 158, 160, 161, 164, 180], "undefin": [19, 21, 36, 54, 56, 59, 62, 63, 102, 113, 167], "AND": [19, 24, 28, 62, 82, 83, 84, 99, 105, 115, 116, 125, 131, 176], "clk_user": [19, 28], "std_logic": [19, 24, 28, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 46, 48, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 138, 139, 142, 143], "clk_user_x2": 19, "doubl": [19, 21, 45, 94, 101, 131, 133, 140, 141, 172, 176], "frequenc": [19, 21, 30, 45, 46, 47, 59, 75, 93, 101, 129, 133, 141, 143, 177, 180], "clk_user_x3": 19, "tripl": 19, "clk_user_x4": 19, "quadrupl": 19, "reset_us": [19, 28], "downto": [19, 24, 28, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 46, 48, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 134, 135, 136, 137, 138, 139, 142, 143], "synchron": [19, 25, 30, 36, 44, 76, 116, 117, 118, 119, 121, 122, 123, 129, 131, 133, 138, 148, 158, 160, 161, 167, 176, 180], "reset_user_x2": 19, "reset_user_x3": 19, "reset_user_x4": 19, "BY": 19, "mi_clk": [19, 24, 28, 32, 59, 77, 87, 129, 131, 133, 143], "out": [19, 24, 28, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 48, 49, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 142, 143, 162, 168, 180], "dma_clk": [19, 24], "dma_clk_x2": 19, "app_clk": 19, "mi_reset": [19, 24, 28, 77, 87, 129, 131, 133, 143], "dma_reset": [19, 24], "dma_reset_x2": 19, "app_reset": 19, "timestap": 19, "tsu_clk": [19, 25, 28], "tsu_reset": [19, 25], "tsu_ts_n": [19, 25, 28, 131], "nanosecond": [19, 25, 68, 74, 131, 142, 143], "tsu_ts_vld": [19, 25], "pcie_link_up": [19, 24], "activ": [19, 21, 24, 30, 40, 59, 73, 88, 106, 113, 120, 121, 131, 133, 141, 143, 145, 148, 149, 154, 155, 156, 157, 158, 160, 161, 162, 165, 166, 167, 175], "ep": 19, "readi": [19, 20, 22, 34, 45, 57, 59, 76, 89, 92, 102, 103, 108, 109, 113, 116, 118, 119, 120, 121, 125, 126, 131, 133, 135, 138, 143, 165, 176, 180], "eth_rx_link_up": 19, "eth_tx_phy_rdi": 19, "phy": [19, 21, 182], "fpga_id": 19, "chip": [19, 51, 176], "fpga_id_vld": 19, "incom": [19, 21, 45, 64, 65, 69, 70, 82, 83, 91, 93, 97, 102, 106, 113, 115, 131, 136, 137, 141, 162], "appropri": [19, 21, 24, 32, 101, 134, 168], "eth_rx_mvb_data": 19, "eth_rx_hdr_width": [19, 28, 131], "eth_rx_mvb_vld": [19, 77], "eth_rx_mvb_src_rdi": [19, 77], "eth_rx_mvb_dst_rdi": [19, 77], "eth_rx_mfb_data": [19, 77], "eth_rx_mfb_sof": [19, 77], "Of": [19, 86, 131, 133, 135], "eth_rx_mfb_eof": [19, 77], "eof": [19, 61, 81, 82, 84, 85, 86, 89, 90, 94, 101, 102, 104, 106, 107, 131, 132, 133, 135, 146, 147, 148, 154, 155, 160, 162, 163, 167], "eth_rx_mfb_sof_po": [19, 77], "log2": [19, 24, 28, 34, 35, 37, 38, 42, 43, 44, 45, 54, 56, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 107, 114, 116, 117, 122, 123, 127, 128, 131, 133, 135, 138], "posit": [19, 27, 32, 40, 41, 76, 82, 83, 102, 106, 113, 114, 115, 117, 129, 131, 133, 135, 166], "eth_rx_mfb_eof_po": [19, 77], "eth_rx_mfb_src_rdi": [19, 77], "eth_rx_mfb_dst_rdi": [19, 77], "outgo": [19, 132], "meta": [19, 95, 100, 108, 109, 115, 126, 139, 151, 162, 163, 164], "eth_tx_mfb_data": [19, 77], "eth_tx_hdr_width": [19, 28], "eth_tx_mfb_sof": [19, 77], "eth_tx_mfb_eof": [19, 77], "eth_tx_mfb_sof_po": [19, 77], "eth_tx_mfb_eof_po": [19, 77], "eth_tx_mfb_src_rdi": [19, 77], "eth_tx_mfb_dst_rdi": [19, 77], "dma_rx_mvb_len": [19, 77], "dma_rx_mvb_channel": [19, 77], "dma_rx_mvb_discard": [19, 77], "dma_rx_mvb_vld": [19, 77], "dma_rx_mvb_src_rdi": [19, 77], "dma_rx_mvb_dst_rdi": [19, 77], "dma_rx_mfb_data": [19, 77], "dma_rx_mfb_sof": [19, 77], "dma_rx_mfb_eof": [19, 77], "dma_rx_mfb_sof_po": [19, 77], "dma_rx_mfb_eof_po": [19, 77], "dma_rx_mfb_src_rdi": [19, 77], "dma_rx_mfb_dst_rdi": [19, 77], "dma_tx_mvb_len": [19, 77], "dma_tx_mvb_channel": [19, 77], "dma_tx_mvb_vld": [19, 77], "dma_tx_mvb_src_rdi": [19, 77], "dma_tx_mvb_dst_rdi": [19, 77], "dma_tx_mfb_data": [19, 77], "dma_tx_mfb_sof": [19, 77], "dma_tx_mfb_eof": [19, 77], "dma_tx_mfb_sof_po": [19, 77], "dma_tx_mfb_eof_po": [19, 77], "dma_tx_mfb_src_rdi": [19, 77], "dma_tx_mfb_dst_rdi": [19, 77], "dma_tx_usr_choke_chan": 19, "paus": [19, 91, 97, 102, 126], "choke": 19, "slack": 19, "behind": [19, 86, 97, 113], "hbm_clk": 19, "hbm_reset": 19, "hbm_init_don": 19, "hbm_axi_araddr": 19, "slv_array_t": [19, 24, 42, 43, 53, 59, 81, 86, 89, 95, 96, 104, 111, 115, 121, 128], "hbm_axi_arburst": 19, "hbm_axi_arid": 19, "hbm_axi_arlen": 19, "hbm_axi_ars": 19, "hbm_axi_arvalid": 19, "hbm_axi_arreadi": 19, "hbm_axi_rdata": 19, "hbm_axi_rdata_par": 19, "hbm_axi_rid": 19, "hbm_axi_rlast": 19, "hbm_axi_rresp": 19, "hbm_axi_rvalid": 19, "hbm_axi_rreadi": 19, "hbm_axi_awaddr": 19, "hbm_axi_awburst": 19, "hbm_axi_awid": 19, "hbm_axi_awlen": 19, "hbm_axi_aws": 19, "hbm_axi_awvalid": 19, "hbm_axi_awreadi": 19, "hbm_axi_wdata": 19, "hbm_axi_wdata_par": 19, "hbm_axi_wlast": 19, "hbm_axi_wstrb": 19, "hbm_axi_wvalid": 19, "hbm_axi_wreadi": 19, "hbm_axi_bid": 19, "hbm_axi_bresp": 19, "hbm_axi_bvalid": 19, "hbm_axi_breadi": 19, "mem_clk": [19, 52], "mem_rst": [19, 52], "mem_avmm_readi": [19, 52], "mem_avmm_read": [19, 52], "mem_avmm_writ": [19, 52], "mem_avmm_address": [19, 52], "mem_avmm_burstcount": [19, 52], "mem_avmm_writedata": [19, 52], "mem_avmm_readdata": [19, 52], "mem_avmm_readdatavalid": [19, 52], "mem_refr_period": 19, "mem_refr_req": 19, "mem_refr_ack": 19, "ack": 19, "emif_rst_req": [19, 59], "emif_rst_don": [19, 59], "emif_ecc_usr_int": 19, "ecc": [19, 59], "interupt": 19, "emif_cal_success": [19, 59], "calibr": [19, 59], "emif_cal_fail": [19, 59], "fail": [19, 59, 162], "emif_auto_precharg": [19, 59], "auto": [19, 35, 36, 39, 59, 105, 124, 127, 128, 140], "precharg": [19, 59], "mi_dwr": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "master": [19, 23, 73, 108, 110, 113, 116, 129, 131, 133, 138, 143, 162, 164], "slave": [19, 108, 110, 113, 116, 129, 131, 133, 138, 143, 162, 164], "mi_addr": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_b": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_rd": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_wr": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_ardi": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_drd": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_drdi": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "auxiliari": [20, 70, 85, 93, 135, 138, 178], "parametr": [20, 34], "still": [20, 47, 84, 126, 141], "desir": [20, 24, 46, 93, 100, 111, 168], "automat": [20, 22, 24, 32, 35, 36, 39, 46, 57, 85, 93, 97, 162, 172, 177], "replac": [20, 35, 115, 127, 137], "immedi": [20, 30, 32, 85, 86, 111, 113, 132, 133], "sublay": 21, "client": [21, 169, 170], "standardli": 21, "consequ": 21, "That": [21, 86, 102, 111, 113, 115, 162], "adapt": [21, 24], "abil": [21, 46, 102, 106, 129], "backpressur": [21, 132], "adjac": 21, "side": [21, 34, 37, 49, 74, 75, 77, 85, 93, 98, 106, 108, 109, 113, 115, 129, 131, 132, 133, 141, 162, 165], "rest": [21, 32, 59, 79, 85, 86], "kei": 21, "realiti": 21, "much": [21, 48, 76, 88, 115, 141, 151], "look": [21, 27, 40, 93, 115, 132, 143, 168, 176], "unit": [21, 23, 26, 40, 45, 46, 47, 49, 50, 77, 86, 88, 93, 101, 102, 106, 109, 114, 124, 126, 131, 133, 134, 140, 141, 142, 143, 162, 169, 176, 180, 183], "nic": [21, 182], "repres": [21, 27, 35, 68, 74, 91, 93, 143, 162], "splitter": [21, 26, 162, 178, 179], "mi_adc_port_ethmod": 21, "0x00ffffff": [21, 23], "mi_adc_port_netmod": 21, "0x0000ffff": [21, 23], "mi_adc_port_ethpmd": 21, "0x00003000": [21, 23], "0x00003fff": [21, 23], "subsect": [21, 168], "left": [21, 34, 35, 36, 37, 51, 75, 93, 102, 105, 113, 126, 127, 132, 176], "rx_mfb_": [21, 132], "along": [21, 37, 86, 113, 131], "rx_mfb_hdr": [21, 28], "tx_mfb_": 21, "tx_mvb_": 21, "tx_": 21, "eventu": 21, "lower": [21, 30, 35, 36, 45, 46, 49, 78, 82, 85, 88, 94, 101, 113, 115, 123, 131, 132, 139, 142, 143, 145, 156, 157, 162, 176], "behavior": [21, 40, 41, 73, 108, 113, 116, 132, 142, 162, 165, 172, 176, 177, 180], "unders": 21, "0x00200000": 21, "transact": [21, 23, 24, 26, 45, 50, 57, 59, 68, 70, 74, 75, 101, 102, 111, 113, 115, 117, 122, 123, 124, 125, 126, 128, 132, 133, 135, 136, 137, 139, 140, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 164, 166, 168, 180, 183], "Its": [21, 24, 59, 66, 132], "0x0000000": 21, "0x000000": [21, 78, 116], "0x1fffff": 21, "0x0200000": 21, "0x200000": 21, "0x3fffff": 21, "mention": [21, 32, 55, 93, 102, 115], "0x0000": [21, 53, 59, 78], "0x0fff": 21, "0x2fff": 21, "right": [21, 27, 37, 51, 85, 93, 97, 102, 113, 126, 131, 132, 146, 147, 150, 154, 155, 168, 176], "now": [21, 27, 40, 46, 58, 60, 81, 113, 115, 140, 162, 168], "tile_multir": 21, "readabl": [21, 162], "pin": [21, 129], "onc": [21, 26, 40, 45, 46, 47, 73, 85, 94, 106, 113, 150, 166], "mgmt": [21, 129], "drp_bridg": 21, "mac_loopback": 21, "drc": 21, "made": [21, 27, 46, 58, 59, 132, 162, 164, 167, 180], "constraint": [21, 30, 32, 150, 162], "multir": 21, "qsf": [21, 27], "fec": [21, 27], "xcvr": 21, "eight": [21, 166], "altogeth": [21, 102], "number_of_channel": 21, "matter": [21, 40], "ge": 21, "pair": [21, 32, 134], "avst": [21, 131, 133], "segment": [21, 62, 63, 131, 133], "lbu": [21, 131, 133, 182], "diagnost": 21, "post": [21, 162], "re": [21, 93, 115, 140], "revers": [21, 78, 132, 176], "normal": [21, 145, 156, 157], "turn": [21, 35, 94, 129, 133], "emploi": 21, "mdio": 21, "task": [21, 24, 57, 129, 131, 133, 145, 146, 147, 151, 156, 157, 162, 164, 166, 167, 168], "record": 21, "suit": [21, 27], "feed": 21, "pictur": [21, 93, 113, 126, 132, 162], "somehow": 21, "domain": [21, 30, 48, 59, 103, 108, 167], "twice": [21, 85, 94], "problem": [21, 27, 40, 94, 151, 162, 176], "virtual": [21, 50, 102, 139, 148, 151, 158, 160, 161, 164, 168], "multi": [21, 30, 40, 45, 47, 49, 53, 73, 79, 90, 102, 106, 117, 122, 125, 126, 129, 134, 140, 149, 176], "tri": [21, 32, 89, 176], "illustr": [21, 86, 93, 115], "subsequ": 21, "addition": [21, 59, 128], "concern": [21, 32, 94], "4x25": 21, "notat": 21, "port_id": 21, "channel_id": 21, "0x01ff": 21, "0x0200": 21, "0x03ff": 21, "0x0400": 21, "0x05ff": 21, "0x0600": 21, "0x07ff": 21, "0x0800": [21, 55], "0x09ff": 21, "0x0a00": 21, "0x0bff": 21, "0x0c00": 21, "0x0dff": 21, "0x0e00": 21, "0x21ff": 21, "0x2200": 21, "0x23ff": 21, "0x2400": 21, "0x25ff": 21, "0x2600": 21, "0x27ff": 21, "0x2800": 21, "0x29ff": 21, "0x2a00": 21, "0x2bff": 21, "0x2c00": 21, "0x2dff": 21, "0x2e00": 21, "x": [21, 24, 39, 59, 85, 93, 96, 102, 138, 150, 151, 162], "1x100ge": 21, "4x25ge": 21, "4x10ge": 21, "1x400ge": 21, "2x200ge": 21, "4x100ge": 21, "8x50ge": 21, "2x40ge": 21, "slightli": [22, 40, 45, 49, 162], "axi4": [22, 110], "keep": [22, 40, 41, 57, 93, 115], "tester": [22, 170], "mtc": [23, 24, 183], "act": [23, 51, 55, 115, 164], "non": [23, 27, 40, 45, 137, 140, 162], "deadlock": [23, 47, 49], "ident": 23, "mi_addr_space_pkg": 23, "0x000000ff": 23, "0x00000100": 23, "0x00000fff": 23, "reserv": [23, 32, 78, 129, 131, 133, 134], "0x00001fff": 23, "sdm": [23, 169], "sysmon": 23, "temp": 23, "asx4": 23, "0x00002fff": 23, "0x000040ff": 23, "0x00004100": 23, "0x00004fff": 23, "0x00007fff": 23, "0x0000bfff": 23, "0x0000c000": 23, "0x0001ffff": 23, "0x00020000": 23, "0x007fffff": 23, "0x013fffff": 23, "0x01400000": 23, "0x01ffffff": 23, "msix": 23, "0x03ffffff": 23, "transform": [24, 76, 94, 141, 149], "duplic": [24, 81], "bifurc": 24, "1xgen1x16": 24, "consol": 24, "1xgen3x16": 24, "2xgen4x8x8": 24, "x8x8": 24, "bifurac": 24, "2xgen5x8x8": 24, "1xgen3x8ll": 24, "x8": [24, 75], "st": 24, "unifi": 24, "pcie_mod_arch": 24, "pcie_endpoint_typ": 24, "r_tile": 24, "express": [24, 32, 71, 74, 75, 134, 135, 137, 162], "p_tile": 24, "integr": [24, 32, 135], "respons": [24, 45, 62, 63, 74, 75, 109, 113, 124, 138, 140, 141, 144, 163, 164, 165, 168], "completit": [24, 139], "bar": [24, 138, 139], "bar2": [24, 138], "dma_bar_en": 24, "assum": [24, 162], "half": [24, 132, 143], "bar4": [24, 138], "ptc": [24, 183], "vice": [24, 69, 131], "versa": [24, 69, 131], "tag": [24, 50, 135, 139, 141, 151, 162, 183], "ptc_disabl": 24, "bar0_base_addr": [24, 138], "01000000": [24, 138], "bar1_base_addr": [24, 138], "02000000": [24, 138], "bar2_base_addr": [24, 138], "03000000": [24, 138], "bar3_base_addr": [24, 138], "04000000": [24, 138], "bar4_base_addr": [24, 138], "05000000": [24, 138], "bar5_base_addr": [24, 138], "06000000": [24, 138], "exp_rom_base_addr": [24, 138], "0a000000": [24, 138], "cq_mfb_region": 24, "cq_mfb_region_s": 24, "cq_mfb_block_siz": 24, "cq_mfb_item_width": 24, "rc_mfb_region": 24, "rc_mfb_region_s": 24, "rc_mfb_block_siz": 24, "rc_mfb_item_width": 24, "cc_mfb_region": 24, "cc_mfb_region_s": 24, "cc_mfb_block_siz": 24, "cc_mfb_item_width": 24, "rq_mfb_region": 24, "rq_mfb_region_s": 24, "rq_mfb_block_siz": 24, "rq_mfb_item_width": 24, "dma_port": 24, "dma_ep": 24, "pcie_ep": 24, "pcie_clk": 24, "pcie_con": 24, "lane": [24, 28, 129, 149], "card_id_width": 24, "boolean": [24, 28, 33, 34, 35, 36, 37, 39, 42, 44, 46, 54, 59, 68, 75, 77, 78, 84, 85, 87, 88, 89, 90, 92, 95, 97, 99, 100, 101, 103, 104, 108, 112, 115, 116, 117, 118, 119, 120, 121, 124, 125, 127, 128, 131, 133, 135, 136, 138, 143], "rq": [24, 61, 139], "rc": [24, 139], "cq": [24, 74, 75, 135, 138, 139], "cc": [24, 135, 138, 139], "xvc_enabl": 24, "xcv": 24, "stratix10": [24, 28, 32, 33, 34, 35, 38, 42, 44, 66, 73, 77, 78, 79, 81, 85, 86, 91, 95, 96, 97, 100, 101, 104, 105, 115, 119, 124, 127, 131, 133, 138, 139], "pcie_sysclk_p": 24, "pcie_sysclk_n": 24, "pcie_sysrst_n": 24, "init_done_n": 24, "ninit_don": 24, "releas": [24, 55, 140, 172], "pcie_user_clk": 24, "pcie_user_reset": 24, "pcie_rx_p": 24, "pcie_rx_n": 24, "pcie_tx_p": 24, "pcie_tx_n": 24, "pcie_mp": 24, "pcie_mrr": 24, "pcie_ext_tag_en": 24, "pcie_10b_tag_req_en": 24, "pcie_rcb_siz": 24, "rcb": 24, "card_id": 24, "compar": [24, 40, 59, 73, 88, 115, 141, 145, 152, 154, 155, 156, 157, 162, 166, 176], "gap": [24, 47, 86, 93, 94, 101, 102, 126, 131, 132, 133, 148, 151, 158, 160, 162, 180], "src_rdy": [24, 34, 76, 102, 125, 126, 162, 163, 165, 176], "dma_rq_mfb_data": 24, "dma_rq_mfb_meta": 24, "pcie_rq_meta_width": [24, 61, 68, 75], "dma_rq_mfb_sof": 24, "dma_rq_mfb_eof": 24, "dma_rq_mfb_sof_po": 24, "dma_rq_mfb_eof_po": 24, "dma_rq_mfb_src_rdi": 24, "dma_rq_mfb_dst_rdi": 24, "dma_rq_mvb_data": 24, "dma_uphdr_width": [24, 88, 95], "dma_rq_mvb_vld": 24, "dma_rq_mvb_src_rdi": 24, "dma_rq_mvb_dst_rdi": 24, "dma_rc_mfb_data": 24, "dma_rc_mfb_meta": 24, "pcie_rc_meta_width": 24, "dma_rc_mfb_sof": 24, "dma_rc_mfb_eof": 24, "dma_rc_mfb_sof_po": 24, "dma_rc_mfb_eof_po": 24, "dma_rc_mfb_src_rdi": 24, "dma_rc_mfb_dst_rdi": 24, "dma_rc_mvb_data": 24, "dma_downhdr_width": [24, 88, 95], "dma_rc_mvb_vld": 24, "dma_rc_mvb_src_rdi": 24, "dma_rc_mvb_dst_rdi": 24, "dma_cq_mfb_data": 24, "dma_cq_mfb_meta": 24, "pcie_cq_meta_width": [24, 70, 74, 75, 138], "dma_cq_mfb_sof": 24, "dma_cq_mfb_eof": 24, "dma_cq_mfb_sof_po": 24, "dma_cq_mfb_eof_po": 24, "dma_cq_mfb_src_rdi": 24, "dma_cq_mfb_dst_rdi": 24, "dma_cc_mfb_data": 24, "dma_cc_mfb_meta": 24, "pcie_cc_meta_width": [24, 74, 75, 138], "dma_cc_mfb_sof": 24, "dma_cc_mfb_eof": 24, "dma_cc_mfb_sof_po": 24, "dma_cc_mfb_eof_po": 24, "dma_cc_mfb_src_rdi": 24, "dma_cc_mfb_dst_rdi": 24, "mi32": [24, 77, 87, 113, 129, 131, 133, 138, 143], "root": [24, 32, 162], "tree": [24, 55, 154, 155, 176], "mi_dbg": 24, "mi_dbg_dwr": 24, "mi_dbg_addr": 24, "mi_dbg_b": 24, "mi_dbg_rd": 24, "mi_dbg_wr": 24, "mi_dbg_drd": 24, "mi_dbg_ardi": 24, "mi_dbg_drdi": 24, "accur": [25, 26, 143], "tsu_gen": [25, 143], "puls": [25, 143, 154, 155, 176, 180], "pp": [25, 93, 101, 143], "mark": [25, 36, 76, 106, 118, 120, 172], "recept": [25, 26], "unix": 25, "epoch": 25, "63": [25, 40, 61, 68, 143], "999": [25, 143], "applianc": 26, "mediat": 26, "rom": [26, 138, 176], "dedic": [26, 32, 86, 180], "stamp": [26, 131], "qspi": [26, 51], "reboot": 26, "anyth": [27, 49, 91, 93, 115, 151], "100ge": 27, "25ge": 27, "agi": 27, "fh400g": 27, "pseudo": [27, 40, 59, 176], "occur": [27, 32, 40, 46, 47, 53, 54, 56, 58, 59, 71, 78, 85, 88, 94, 102, 121, 126, 131, 132, 140, 141, 150, 151, 162, 180], "help": [27, 47, 59, 60, 86, 162], "analysi": [27, 145, 146, 147, 150, 151, 154, 155, 156, 157, 165, 166], "seem": [27, 40], "could": [27, 40, 41, 45, 47, 48, 85, 102, 115, 126, 162, 166], "asynchron": [27, 31, 34, 40, 87, 106, 108, 167], "clk": [27, 32, 33, 35, 36, 37, 38, 39, 41, 42, 45, 46, 52, 53, 54, 56, 58, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 105, 109, 110, 111, 112, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 132, 136, 138, 142, 143, 151, 162, 167], "sdc": 27, "reconfigur": [27, 178, 179, 182], "25g": 27, "91": 27, "134": 27, "10ge": [27, 154, 155], "profile_swap": 27, "swap": [27, 100], "five": [27, 74, 93, 102, 158, 163, 168], "s_ch": 27, "start_channel": 27, "ch": 27, "_start": 27, "channel_": 27, "treatment": 27, "choic": [27, 35, 168], "s_p": 27, "start_profil": 27, "appli": [27, 33, 48, 76, 85, 86, 87, 91, 93, 94, 126, 131, 132], "e_p": 27, "end_profil": 27, "sp": 27, "_help_": 27, "d": [27, 59, 60, 76, 113], "eth_core_arch": 28, "f_tile": 28, "eth_port_spe": 28, "50": [28, 129, 162, 168], "40": [28, 93], "eth_port_chan": 28, "512b": [28, 60, 93, 131, 135], "2048b": [28, 93], "drop": [28, 69, 71, 76, 81, 83, 129, 130, 168], "overflow": [28, 45, 47, 53, 54, 58, 131, 140, 141], "unmask": [28, 85], "obligatori": [28, 85], "func": [28, 85], "cover": [28, 85, 124], "tbd": 28, "outsid": [28, 47, 84, 180], "brake": 28, "network_mod": 28, "eth_port": 28, "integer_vector": 28, "ehip_port_typ": 28, "eth_port_rx_mtu": 28, "eth_port_tx_mtu": 28, "qsfp_port": 28, "qsfp_i2c_port": 28, "qsfp_i2c_trist": 28, "region_s": [28, 65, 77, 78, 80, 82, 83, 84, 85, 87, 89, 92, 94, 96, 98, 99, 102, 105, 148, 160, 161, 162, 163], "block_siz": [28, 37, 62, 63, 65, 77, 78, 80, 82, 83, 84, 85, 87, 89, 92, 94, 96, 98, 99, 102, 105, 148, 160, 161, 162, 163], "item_width": [28, 45, 65, 77, 78, 80, 82, 83, 84, 85, 87, 89, 92, 94, 96, 98, 99, 102, 105, 116, 118, 124, 125, 126, 127, 148, 156, 157, 158, 160, 161, 162, 163, 165, 168], "mi_data_width_phi": 28, "mi_addr_width_phi": 28, "lane_rx_polar": 28, "polar": [28, 129], "lane_tx_polar": 28, "dk": 28, "clk_eth": 28, "reset_eth": 28, "eth_refclk_p": 28, "eth_refclk_n": 28, "eth_rx_p": 28, "eth_rx_n": 28, "eth_tx_p": 28, "eth_tx_n": 28, "qsfp_i2c_scl": 28, "inout": 28, "qsfp_i2c_sda": 28, "qsfp_i2c_sda_i": 28, "qsfp_i2c_scl_i": 28, "qsfp_i2c_scl_o": 28, "qsfp_i2c_sda_o": 28, "qsfp_i2c_dir": 28, "qsfp_modsel_n": 28, "qsfp_lpmode": 28, "qsfp_reset_n": 28, "qsfp_modprs_n": 28, "qsfp_int_n": 28, "activity_rx": 28, "repeater_ctrl": 28, "port_en": 28, "activity_tx": 28, "rx_link_up": 28, "tx_link_up": 28, "rx_mfb_data": [28, 61, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_sof": [28, 61, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_eof": [28, 61, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_sof_po": [28, 65, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_eof_po": [28, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_src_rdy": [28, 61, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_dst_rdy": [28, 61, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 132, 133], "tx_mfb_data": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_sof": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_eof": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_sof_po": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_eof_po": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_src_rdy": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_dst_rdy": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mvb_data": [28, 86, 95, 100, 131], "tx_mvb_vld": [28, 79, 81, 86, 88, 95, 100, 104, 128, 131], "tx_mvb_src_rdy": [28, 79, 81, 86, 88, 95, 100, 104, 128, 131], "tx_mvb_dst_rdy": [28, 79, 81, 86, 88, 95, 100, 104, 128, 131], "mi_clk_phi": 28, "mi_reset_phi": 28, "mi_dwr_phi": 28, "mi_addr_phi": 28, "mi_rd_phi": 28, "mi_wr_phi": 28, "mi_be_phi": 28, "mi_drd_phi": 28, "mi_ardy_phi": 28, "mi_drdy_phi": 28, "mi_clk_pmd": 28, "mi_reset_pmd": 28, "mi_dwr_pmd": 28, "mi_addr_pmd": 28, "mi_rd_pmd": 28, "mi_wr_pmd": 28, "mi_be_pmd": 28, "mi_drd_pmd": 28, "mi_ardy_pmd": 28, "mi_drdy_pmd": 28, "tsu_rst": 28, "tsu_ts_dv": [28, 131], "async_bus_handshak": [30, 32], "cross": [30, 48, 57, 59, 87, 108, 162, 180], "handshak": [30, 126, 176], "significantli": [30, 32, 57], "dual": [30, 34, 57, 72, 177], "async_gener": 30, "rise": [30, 57, 59, 165, 176], "fall": [30, 34, 103, 106, 115, 140, 141], "async_open_loop": 30, "simpler": [30, 40, 177], "ratio": 30, "async_open_loop_smd": 30, "open_loop": 30, "set_max_delai": 30, "counter": [30, 40, 45, 53, 56, 58, 59, 66, 68, 69, 71, 73, 74, 75, 78, 88, 91, 97, 121, 129, 131, 133, 168, 176, 180], "grai": 30, "async_reset": [30, 180], "deactiv": 30, "jakub": 30, "cabal": 30, "bachelor": 30, "thesi": [30, 45, 47, 141], "2014": 30, "2015": 30, "ram": [31, 34, 42, 44, 54, 62, 63, 64, 66, 68, 72, 73, 75, 108, 124], "multiplex": [31, 45, 122, 125, 176], "encod": [31, 129, 150, 166, 176], "decod": [31, 70, 113, 129, 176, 183], "dsp": [31, 73, 75, 133, 142, 143, 176], "shift": [31, 35, 37, 47, 51, 80, 82, 105, 106, 112, 114, 124, 127, 132, 143, 172, 176], "miscellan": 31, "easier": [32, 40, 76, 115, 149], "uniform": [32, 145, 156, 157, 162], "sythesi": 32, "languag": [32, 162], "object": [32, 145, 148, 154, 155, 156, 157, 158, 160, 161, 166, 167], "complex": [32, 40, 47, 66, 68, 75, 93, 94, 140, 154, 155], "compos": [32, 102, 143, 165], "recurs": 32, "bundl": 32, "recomend": [32, 46], "exception": 32, "subdirectori": 32, "while": [32, 40, 46, 55, 94, 106, 113, 131, 132, 151, 167, 180], "serv": [32, 73, 74, 85, 102, 129, 138, 146, 147, 150, 162, 176], "begin": [32, 40, 61, 65, 67, 79, 81, 93, 102, 115, 132, 148, 149, 151, 160, 161, 162, 167], "package_nam": 32, "sooner": 32, "preserv": 32, "verilog": 32, "scope": [32, 50], "distinguish": [32, 76, 93, 102], "commonli": [32, 113, 151, 152, 162, 168], "git": [32, 55], "pars": [32, 70, 162, 183], "systemverilog": [32, 185], "dpi": 32, "doesn": [32, 114, 115, 131, 148, 151, 158, 160, 161, 162], "t": [32, 40, 45, 48, 53, 59, 60, 93, 102, 113, 114, 115, 129, 131, 143, 148, 151, 158, 160, 161, 162, 166], "prefix": [32, 50, 76, 162], "lib": 32, "nor": [32, 45, 94, 113, 176], "suffix": [32, 76, 85, 162], "dll": 32, "filenam": 32, "predefin": [32, 115, 145, 151, 156, 157], "everi": [32, 40, 53, 54, 59, 62, 67, 70, 72, 93, 101, 102, 132, 145, 150, 156, 157, 162, 164, 168], "Their": [32, 126], "ancestor": 32, "prefer": [32, 93], "myfil": 32, "better": [32, 34, 42, 44, 45, 50, 59, 90, 97, 103, 112, 113, 116, 121, 123, 132, 151, 162], "faster": 32, "another_lib": 32, "sim_modul": 32, "glbl": 32, "overrid": [32, 45, 101], "constr_quartu": 32, "constr_vivado": 32, "vivado_ip_xact": 32, "xci": 32, "scoped_to_ref": 32, "set_properti": 32, "processing_ord": 32, "used_in": 32, "vivado_set_properti": 32, "vsim": [32, 162], "extra_modul": 32, "testbench": [32, 168], "sim_lib": 32, "extra_librari": 32, "dp_bmem_behav": 32, "quiet": 32, "file_typ": 32, "vhdl98": 32, "bus_handshak": 32, "xdc": 32, "late": 32, "make_param": 32, "hfe": [32, 168], "hfe_top": 32, "reli": 32, "file_to_work": 32, "anotherlib": 32, "file_to_anotherlib": 32, "hfe_empti": 32, "hfe_pip": 32, "hfe_pars": 32, "hfe_ful": 32, "anywher": [32, 93], "synth": 32, "synthes": 32, "top_level_": 32, "ofm_path": [32, 55, 162], "top_level_path": 32, "top_level_archgrp": 32, "clk_port": 32, "clk_period": [32, 162, 168], "float": [32, 131, 133], "unspecifi": 32, "formula": [32, 93], "lazi": 32, "rx_clk": [32, 101, 103, 107, 131, 133, 151], "tx_clk": [32, 101, 103, 107, 131, 133], "500": [32, 164, 168], "000": [32, 35, 46, 48, 142, 143], "phoni": 32, "comp_": 32, "fetch": 32, "quartust": 32, "constr_text": 32, "leav": [32, 93, 115, 124], "untouch": 32, "unchang": [32, 41, 75, 93, 132], "targ": 32, "synthesis": 32, "date": 32, "rebuild": 32, "proc": 32, "target_default": 32, "practic": [32, 93, 102, 162], "scheme": [32, 68, 74, 75], "synthfil": 32, "varabl": 32, "neccessari": 32, "interpret": [32, 125], "tune": 32, "nb_main": 32, "entri": [32, 74, 128], "fine": [32, 76], "tunnig": 32, "extra": [32, 131, 133, 162, 172], "program": 32, "being": [32, 41, 55, 85, 93, 94, 97, 102, 113, 114, 126, 131, 133, 148, 160], "callback": 32, "modelsim": [32, 115, 162], "fdo": [32, 35], "impact": [32, 93], "vhdl2008": 32, "newli": [32, 45, 46, 113], "At": [32, 45, 49, 86, 93, 94, 106, 113, 140, 146, 147, 162], "explicit": 32, "deserv": 32, "explan": 32, "awar": [32, 93], "none": [32, 35, 46, 68, 92, 93, 105, 122], "remad": 32, "suppli": 32, "gather": 32, "mk": 32, "approach": [32, 93, 113, 162], "hide": 32, "caveat": 32, "unreli": 32, "henc": [32, 85, 93, 113], "shadow": [32, 132], "real": [32, 132, 134, 143], "aren": [32, 115], "export": [32, 146, 147, 148, 150, 158, 160, 161], "subprocess": 32, "except": [32, 45, 70, 82, 154, 155], "keyword": 32, "tclsh": 32, "he": [32, 40, 45, 165, 168], "user_env": 32, "necess": 32, "trigger": [32, 34, 35, 36, 48, 101, 103, 105, 107, 127], "ttarget_": 32, "starget_": 32, "target_myproc": 32, "ttarget_myproc": 32, "stem": 32, "bare": 32, "ttarget": 32, "starget": 32, "best": [32, 75, 116], "dt": [32, 134], "dtb": [32, 134], "neither": [32, 45, 94, 113], "synth_onli": 32, "skip": [32, 85], "phase_sav": 32, "archiv": [32, 39], "virtex7": [32, 135], "famili": 32, "xcvu7p": 32, "flvb2104": 32, "setup_flag": 32, "use_xpm_librari": 32, "xpm_cdc": 32, "xpm_memori": 32, "xpm_fifo": 32, "dsp_compar": 33, "blok": 33, "input_data_width": 33, "unlimit": 33, "input_regs_en": 33, "1st": [33, 93, 102], "2nd": [33, 93, 102], "smaller": [33, 59, 69, 94, 167], "dsp_enabl": 33, "7seri": [33, 34, 35, 42, 43, 44, 64, 85, 88, 90, 92, 95, 105, 112, 117, 124, 127, 139], "clk_en": [33, 149], "input_1": 33, "comparison": [33, 151], "input_2": 33, "01": [33, 113, 166], "dec": [33, 133], "suitabl": [34, 162, 172], "altera": [34, 112], "lutram": [34, 128], "mlab": 34, "data_width": [34, 35, 36, 39, 41, 42, 43, 44, 56, 76, 108, 109, 111, 112, 113, 115, 117, 120, 122, 144, 154, 155, 158, 162, 164, 166, 168], "depth": [34, 35, 42, 44, 55, 73, 77, 79, 103, 105, 107, 119, 122, 124, 127], "ram_typ": [34, 35, 36, 103, 105, 108, 127], "lut": [34, 35, 73, 103, 105, 108, 124, 127, 172, 177], "effect": [34, 36, 90, 103, 105, 124, 127, 129, 172, 177], "shallow": [34, 103], "approx": [34, 103], "fwft_mode": [34, 103], "rd_en": [34, 42, 44, 72, 103], "output_reg": [34, 37, 42, 44, 84, 103, 118, 119, 120, 128, 136], "flip": [34, 103], "flop": [34, 103], "arria10": [34, 35, 42, 44, 105, 124, 127], "almost_full_offset": [34, 35, 36, 103, 105, 127], "free": [34, 35, 36, 47, 77, 103, 105, 106, 107, 127, 129, 133, 140, 141, 149], "wr_aful": [34, 103], "currently_stor": [34, 35, 36], "almost_empty_offset": [34, 35, 36, 103, 105, 127], "rd_aempti": [34, 103], "wr_clk": [34, 44], "wr_rst": [34, 44], "wr_data": [34, 42, 44, 104], "wr_en": [34, 42, 44, 104], "wr_full": 34, "accept": [34, 45, 51, 65, 68, 69, 74, 76, 86, 91, 97, 102, 109, 113, 115, 125, 126, 164, 165], "ignor": [34, 40, 42, 44, 93, 97, 102, 103, 113, 131, 132, 133], "dst_rdy": [34, 76, 102, 106, 117, 125, 126, 130, 140, 141, 148, 160, 161, 162, 163, 165, 176], "wr_statu": 34, "rd_clk": [34, 44], "rd_rst": [34, 44], "rd_data": [34, 42, 44, 72], "rd_empti": 34, "rd_statu": 34, "implicit": 35, "delai": [35, 45, 48, 63, 85, 93, 113, 151, 164, 167], "wr": [35, 36, 59, 101, 106, 113, 164], "di": [35, 36, 43, 100, 151, 176], "aful": [35, 36, 127], "aempti": [35, 36, 127], "least": [35, 47, 59, 84, 109, 114, 117, 130], "fifox_ram_typ": [35, 36], "what": [35, 105, 115, 124, 127, 148, 162, 168], "fake_fifo": [35, 127], "straight": [35, 93, 94, 127], "note": [35, 40, 61, 77, 78, 85, 97, 102, 113, 115, 126, 148, 158, 160], "example1": 35, "uram": [35, 105, 124, 127, 172, 177], "72": [35, 105, 124, 127], "288": 35, "rd": [35, 36, 59, 101, 113, 164], "todo": [35, 61, 68, 74, 131, 162, 176, 180], "p\u0159idat": 35, "blokov\u00fd": 35, "komponenti": 35, "coverag": 35, "uncom": 35, "top_level": [35, 162], "scoreboard": [35, 151], "random": [35, 59, 115, 121, 145, 148, 149, 150, 152, 154, 155, 156, 157, 158, 160, 161, 162, 164, 165, 166, 167, 168, 176], "verifi": [35, 85, 88, 149, 162], "classic": 35, "3th": 35, "fifox_multi": [36, 86, 172], "fit": [36, 40, 46, 47], "amount": [36, 42, 47, 67, 78, 86, 89, 93, 102, 117, 125, 126, 149, 150, 166, 177], "due": [36, 59, 68, 89, 94, 97, 131, 133, 151], "nearest": [36, 86], "write_port": [36, 42, 43], "read_port": [36, 42, 43], "shakedown": [36, 121, 124, 181], "spent": 36, "allow_single_fifo": 36, "lead": [36, 47, 90, 94, 101], "safe_read_mod": 36, "safe": [36, 45, 108], "attempt": [36, 93], "wors": 36, "confirm": [36, 45, 49, 64, 180], "invalid": [36, 50, 86, 93, 94, 113, 126, 136, 164], "0001": [36, 126, 137, 139], "0011": [36, 137], "0111": [36, 137], "1111": [36, 137], "permut": 36, "forbidden": [36, 41, 76, 176], "barrel_shifter_gen": [37, 132], "shift_left": 37, "data_in": [37, 39, 41], "data_out": [37, 39], "sel": [37, 58], "barrel_shifter_gen_pip": 37, "block_width": [37, 42, 44], "bar_shift_lat": 37, "input_reg": [37, 117], "metadata_width": [37, 42, 44, 45, 103], "rotat": [37, 45], "rx_sel": [37, 117], "unus": [37, 44, 76, 109, 143, 180], "mux_lat": 37, "rx_data": [37, 76, 80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 121, 122, 124, 125, 127], "rx_metadata": 37, "rx_src_rdy": [37, 76, 80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 121, 122, 124, 125, 127], "rx_dst_rdy": [37, 76, 80, 82, 83, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 121, 122, 124, 125, 127], "tx_data": [37, 76, 80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 119, 121, 122, 124, 125, 127], "tx_metadata": 37, "tx_src_rdy": [37, 76, 80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 119, 121, 122, 124, 127, 132], "tx_dst_rdy": [37, 76, 80, 82, 83, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 119, 121, 122, 124, 127, 132], "cnt_multi_memx": [38, 176], "traget": [38, 66, 73], "cnt_width": 38, "inc_width": 38, "inc_fifo_s": 38, "inc_ch": 38, "inc_val": 38, "inc_vld": 38, "inc_rdi": 38, "rst_ch": 38, "rst_vld": 38, "rd_ch": 38, "rd_vld": 38, "old": [38, 86, 88, 143, 177, 180], "appear": [38, 94, 102, 106, 132], "rd_val": 38, "h3_hash": [39, 176], "interest": [39, 145, 156, 157], "paper": 39, "http": [39, 55, 154, 155], "www": 39, "c": [39, 59, 113, 162], "princeton": 39, "edu": 39, "cours": [39, 46, 111], "fall09": 39, "cos521": 39, "handout": 39, "universalclass": 39, "pdf": [39, 53, 60], "hash_width": 39, "h3_type": 39, "precomput": 39, "h3_pack": 39, "h3c_": 39, "input_width": [39, 54], "output_width": 39, "h3c_64x16": 39, "h3c_64x22": 39, "h3c_22x11": 39, "h3c_256x64": 39, "out_reg": 39, "data_in_vld": 39, "data_in_rdi": 39, "data_out_vld": 39, "data_out_rdi": 39, "parallel": [40, 45, 47, 56, 86, 114, 140, 176, 180], "friendli": 40, "reason": [40, 45, 46, 47, 76, 94, 131, 133, 140, 162, 167], "why": [40, 113, 162, 167], "solv": [40, 45, 49, 93, 120, 176], "yourself": [40, 47], "consecut": [40, 46, 93, 102, 126, 132, 145, 156, 157], "put": [40, 87, 98, 106, 124, 162], "asid": 40, "fast": [40, 154, 155, 176], "recent": 40, "complic": [40, 94, 114, 164], "nessesari": 40, "collis": [40, 45, 54], "np_lutram": [40, 43, 176, 177], "quadrat": 40, "consumpt": [40, 88, 115], "demonstr": [40, 85, 102, 126], "sai": [40, 45, 94, 113, 154, 155, 166, 172], "i0": 40, "i1": 40, "i2": 40, "decrement": [40, 164], "iset": 40, "cnt_next": 40, "cnt": [40, 53, 58, 59, 176], "sinc": [40, 45, 46, 47, 72, 94, 97, 106, 140], "worst": [40, 47], "might": [40, 45, 49, 93, 94, 106, 113, 114, 115, 120, 162], "came": 40, "But": [40, 47, 93, 102, 113, 164], "infrom": 40, "alon": 40, "deduc": 40, "whether": [40, 44, 48, 86, 91, 93, 97, 102, 106, 113, 131, 133, 143, 162, 164, 180], "inrement": 40, "luckili": 40, "i0_incr": 40, "i1_incr": 40, "i2_incr": 40, "i0_deccr": 40, "i1_deccr": 40, "i2_deccr": 40, "op_item_sel": 40, "op_oper": 40, "operatio": 40, "op_meta": 40, "don": [40, 45, 48, 59, 113, 115, 131, 162, 166], "op_": 40, "u": [40, 46, 115, 162], "later": [40, 46, 70, 113, 124, 144], "generali": 40, "op_in_": 40, "op_out_data": 40, "someth": [40, 151], "operators_pr": 40, "op_in_sel": 40, "op_in_src": 40, "op_in_op": 40, "op_in_data": 40, "op_in_meta": 40, "tmp": [40, 53], "op_data_out": 40, "op_data_in": 40, "overwrit": [40, 86, 93, 113], "join": [40, 94, 162], "reciev": [40, 111, 113], "quick_reset_en": 40, "reset_v": 40, "sr_sync_latch": [41, 176], "synchrnou": 41, "enhanc": 41, "assert": [41, 57, 59, 61, 86, 91, 96, 97, 101, 102, 109, 113, 117, 126, 129, 131, 133, 146, 147, 154, 155, 162, 164, 165, 168], "behav": [41, 72, 74, 85, 148, 158, 160, 161, 162, 165], "deassert": [41, 102, 109, 113, 126, 132, 168], "arbitrari": [41, 44, 45, 48, 66, 85, 89, 94, 101, 113, 126, 132, 162, 180], "driven": [41, 48], "pure": [41, 142, 151], "combinatori": 41, "circuit": 41, "advantag": [41, 45, 115, 162], "meet": [41, 93, 132], "closur": 41, "latch_out": 41, "clear": [41, 54, 77, 102, 113, 131, 133, 168, 176], "usag": [41, 48, 57, 59, 60, 88, 140, 152, 176], "omit": [41, 93], "mp_bram": [42, 177], "restrict": [42, 45, 66, 68, 75, 80, 82, 92, 93, 102, 105, 162, 177], "block_en": [42, 44], "4096": [42, 55], "9": [42, 44, 53, 58, 71, 73, 100, 115, 129, 142, 143], "throw": [42, 44], "replic": 42, "wr_be": [42, 44], "wr_addr": [42, 44, 104], "rd_data_vld": [42, 44], "rd_pipe_en": [42, 44], "rd_meta_in": [42, 44], "rd_addr": [42, 44, 72], "rd_meta_out": [42, 44], "wclk": 43, "addra": 43, "addrb": 43, "dob": 43, "sdp_bram": [44, 177], "common_clock": 44, "sdp_bram_b": 44, "abstract": [44, 162], "effectiv": 44, "src_buf": [45, 180], "dst_buf": [45, 180], "tran": [45, 140, 180], "tick": [45, 52, 56, 58, 59, 93, 168], "overlap": [45, 59], "plan": [45, 47], "track": 45, "progress": 45, "data_dir": 45, "use_clk2": 45, "use_clk_arb": 45, "planner": [45, 78, 101, 180], "crossbar": 45, "clk_arb": [45, 101], "spike": 45, "trans_stream": 45, "buf_a_col": 45, "column": [45, 115], "buf_a_stream_row": 45, "row": [45, 93], "buf_b_col": 45, "buf_b_row": 45, "buf_a_sect": 45, "buf_b_sect": 45, "row_item": 45, "transs": 45, "trans_mtu": 45, "trans_fifo_item": 45, "await": 45, "trans_comp_": 45, "moment": [45, 93, 131, 133, 148, 160], "never": [45, 47, 85, 93, 113, 167], "color_timeout_width": 45, "color": [45, 115], "timeout": [45, 88, 121, 151], "expir": 45, "color_conf_delai": 45, "greater": [45, 77, 86, 101, 124, 131, 143, 165], "averag": [45, 47, 52, 53, 58, 68, 74, 101], "rd_latenc": 45, "data_mux_lat": 45, "data_mux_outreg_en": 45, "data_rot_lat": 45, "data_rot_outreg_en": 45, "arriv": [45, 49, 85, 106, 131, 132, 146, 147, 180], "new_rx_tran": 45, "rx_uinstr_src_rdi": 45, "break": [45, 125, 144, 162, 163], "dir": [45, 154, 155, 166], "dimens": [45, 154, 155, 166], "IN": [45, 154, 155, 166], "clk2": [45, 101, 176], "reset_arb": 45, "trans_a_col": 45, "trans_a_item": 45, "trans_b_col": 45, "trans_b_item": 45, "trans_len": 45, "trans_meta": 45, "trans_vld": 45, "trans_src_rdi": 45, "trans_dst_rdi": 45, "src_buf_rd_addr": 45, "src_buf_row": 45, "src_buf_col": 45, "src_buf_rd_data": 45, "dst_buf_wr_addr": 45, "dst_buf_row": 45, "dst_buf_col": 45, "dst_buf_wr_data": 45, "dst_buf_wr_i": 45, "dst_buf_wr_en": 45, "trans_comp_meta": 45, "trans_comp_src_rdi": 45, "trans_comp_dst_rdi": 45, "fifox": [45, 47, 49, 74, 79, 90, 95, 119, 124, 140, 162, 172, 178, 181], "compli": 45, "wide": [45, 46, 76, 94, 112, 113, 115, 134], "od": 45, "somewher": [45, 47, 148, 158, 160], "desctin": 45, "preprocess": 45, "disect": 45, "atom": [45, 131], "long": [45, 48, 49, 61, 102, 106, 113, 180], "colid": 45, "subset": [45, 97], "sorter": 45, "elimin": [45, 47, 141], "starvat": 45, "conform": 45, "bear": 45, "fashion": 45, "intend": [45, 129], "jan": [45, 47, 141], "kubalek": [45, 47, 141], "2019": [45, 47, 141], "20": [45, 47, 59, 86, 129, 141, 151, 162], "interv": [46, 93], "accumult": 46, "concurr": 46, "max_concurrent_ev": 46, "older": [46, 85], "reffer": 46, "view": 46, "abl": [46, 47, 51, 52, 83, 106, 113, 126, 131, 133, 140, 162, 180], "evolut": 46, "rough": 46, "lot": [46, 125, 162, 167], "exactli": [46, 59, 93, 122], "afterward": 46, "capture_en": 46, "capture_fifo_item": 46, "pop": 46, "traffic": [46, 55, 91, 93, 145, 154, 155, 156, 157, 162, 166], "plot": 46, "graph": [46, 53, 59, 60], "mfb_auxiliary_sign": [46, 99], "sum": [46, 47, 53, 58, 86, 119], "vector": [46, 73, 100, 102, 110, 124, 126, 148, 152, 158, 160, 164, 165, 176, 180], "quantum": 46, "accumul": [46, 47, 86, 91, 93, 97, 149, 150], "digit": 46, "024": 46, "milisecond": 46, "event_counter_mi_wrapp": 46, "max_interval_cycl": 46, "sequenc": [46, 51, 59, 129, 144, 151, 152, 163, 164, 167, 168], "1024": [46, 76, 101, 138, 148, 158, 160, 161, 168], "mi_width": [46, 66, 68, 73, 74, 75], "mi_interval_addr": 46, "to_unsign": [46, 61], "mi_events_addr": 46, "mi_cpt_en_addr": 46, "mi_cpt_rd_addr": 46, "mi_addr_mask": 46, "inter": [47, 133, 151, 162, 180], "align": [47, 51, 61, 65, 67, 76, 86, 94, 102, 114, 119, 123, 126, 129, 132, 139, 162, 180], "pointer": [47, 62, 63, 64, 66, 68, 70, 71, 73, 74, 75, 93, 104, 162], "deficit": [47, 101, 133, 180], "idl": [47, 93, 101, 126, 129, 133, 149, 150, 166, 180], "algorithm": [47, 100, 101, 116, 151, 162, 180], "freed": [47, 140], "meant": [47, 106, 113], "infinit": 47, "pakcet": 47, "linear": [47, 58], "origin": [47, 49, 80, 82, 85, 90, 94, 114, 180], "global_out_en": 47, "stream_out_en": 47, "heavili": 47, "appart": [47, 94, 141], "reg0": [47, 49], "reg4": 47, "aproxim": 47, "bottleneck": 47, "og": 47, "reduct": [47, 94], "planned_pkt": 47, "cost": 47, "stream_out_aful": 47, "global_out_aful": 47, "save": [47, 53, 57, 60, 123, 124, 154, 155, 162, 165, 176], "ca": 47, "pulse_short": [48, 180], "shorten": [48, 80, 180], "bclk": 48, "outuput": [48, 71], "arbirarili": 48, "delay_count": 48, "cdc": 48, "async_mask": 48, "048": 48, "think": 48, "throgh": 48, "rst": [48, 52, 53, 54, 56, 59, 60, 61, 65, 67, 78, 89, 96, 105, 132, 162], "en": 48, "aclk": 48, "pulse_out": 48, "durat": [48, 56, 97], "sorag": 49, "correspons": 49, "happen": [49, 54, 84, 86, 106, 124, 162], "deem": 49, "plu": [49, 84, 179], "minu": 49, "down": [49, 93, 114, 115, 132], "crossbarx": [49, 133, 178, 180], "dma_bus_pack": 50, "lenght": 50, "dword": [50, 72, 134, 135, 136, 138, 139], "4b": [50, 134], "firstib": 50, "BE": [50, 93, 113, 114, 168], "lastib": 50, "unitid": 50, "64b": [50, 143], "vfid": 50, "pasid": 50, "granular": 50, "pasidvld": 50, "tlp": [50, 136], "relax": [50, 139], "bridg": [51, 59], "secur": [51, 175], "mailbox": [51, 162], "peripher": 51, "temperatur": [51, 180], "sensor": 51, "voltag": [51, 180], "quad": 51, "rsu": 51, "remot": [51, 55], "32bit": [51, 162], "unalign": [51, 76, 94], "span": [51, 102], "argument": [51, 59, 60, 162], "waitrequest": [51, 109], "ground": 51, "capac": 51, "interrupt": [51, 59, 132, 180], "irq": 51, "ier": 51, "isr": 51, "mi2avmm": [51, 179], "wrap": [52, 53, 59, 149], "around": [52, 94, 114, 115, 140, 141], "log": [52, 53, 61, 162], "latency_met": [52, 56], "mem_burst_count_width": 52, "mem_freq_khz": 52, "histogram_box": [52, 59], "255": [52, 76, 129], "precis": [52, 54, 59, 76, 91, 97, 143], "max_paralel_read": 52, "paral": 52, "latency_ticks_width": 52, "rst_done": [52, 53, 54], "mem_readi": 52, "mem_read": 52, "mem_writ": 52, "mem_address": 52, "mem_read_data": 52, "mem_write_data": 52, "mem_burst_count": 52, "mem_read_data_valid": 52, "mem_logger_i": 52, "mem_mi_dwr": 52, "mem_mi_addr": 52, "mem_mi_b": 52, "mem_mi_rd": 52, "mem_mi_wr": 52, "mem_mi_ardi": 52, "mem_mi_drd": 52, "mem_mi_drdi": 52, "event": [53, 56, 108, 154, 155, 180], "occurr": [53, 113, 150], "submit": 53, "maxim": [53, 58, 59, 131], "box": [53, 54], "ctrlo": 53, "ctrli": 53, "cnter_cnt": 53, "value_cnt": 53, "ctrlo_width": 53, "ctrli_width": 53, "cnter_width": 53, "value_width": 53, "i_array_t": [53, 115], "min_en": 53, "b_array_t": [53, 115], "max_en": 53, "sum_en": 53, "hist_en": 53, "sum_extra_width": 53, "hist_box_cnt": 53, "hist_box_width": 53, "ctrlo_default": 53, "instrfac": 53, "sw_rst": 53, "cnters_incr": 53, "cnters_submit": 53, "sumbit": 53, "cnters_diff": 53, "values_vld": 53, "data_logger_i": 53, "cnter_incr_2": 53, "cnter_incr_1": 53, "cnter_incr_0": 53, "cnter_diff_2": 53, "cnter_diff_1": 53, "cnter_diff_0": 53, "cnter_submit_2": 53, "cnter_submit_1": 53, "cnter_submit_0": 53, "value_vld_2": 53, "value_vld_1": 53, "value_vld_0": 53, "value_2": 53, "value_1": 53, "value_0": 53, "interact": [53, 93, 113], "matplotlib": 53, "markdown": [53, 59], "ctrl": [53, 57, 58, 59, 131, 169, 180], "0x0004": [53, 59], "stat": [53, 84, 131, 133], "0x0008": [53, 59], "0x000c": [53, 59], "slice": [53, 57, 172, 176], "0x0010": [53, 59], "hist": [53, 58], "0x0014": [53, 59], "value_en": 53, "cnter": 53, "histogramm": 53, "sequenti": [54, 59, 162], "given": [54, 55, 56, 93, 97, 102, 126, 162], "box_width": 54, "probabl": [54, 148, 158, 160], "box_cnt": 54, "read_prior": 54, "clear_by_read": 54, "eras": [54, 106], "clear_by_rst": 54, "input_vld": 54, "read_req": 54, "read_addr": 54, "adress": [54, 70, 74, 109], "read_box_vld": 54, "read_box": 54, "histogrammer_i": 54, "signaltap": 55, "etherlink": 55, "occupi": [55, 115, 126], "distinct": 55, "subpart": 55, "0x1800": 55, "2048": [55, 91, 97], "16384": [55, 131, 133], "jtag_op_cli": 55, "suffici": [55, 102, 132], "gain": [55, 132], "stp": 55, "analyz": [55, 86, 131], "altern": [55, 177], "chain": [55, 115, 176], "broken": [55, 138], "virtual_debug_en": 55, "download": [55, 148], "prebuilt": 55, "github": 55, "clone": [55, 162], "hak": 55, "pkg": [55, 162, 168], "branch": 55, "com": [55, 113], "cmake": 55, "bbuild": 55, "cpack": 55, "su": 55, "usr": 55, "bin": [55, 115, 162], "jtag_op_mgmt": 55, "privileg": 55, "machin": [55, 150], "jtagconfig": 55, "ss": 55, "Be": 55, "sure": [55, 93, 113, 149], "unregist": 55, "pane": 55, "scan": 55, "enjoi": 55, "life": 55, "max_paralel_ev": 56, "start_ev": 56, "end_ev": 56, "latency_vld": 56, "fifo_ful": 56, "curent": 56, "fifo_item": [56, 103, 107], "latency_meter_i": 56, "dp_bram": [57, 177], "burst_cnt": [57, 60], "amm_data_width": [57, 59], "edge_detect": [57, 176], "fsm": [57, 59, 132], "buff": [57, 59, 60], "depreci": [58, 59, 60], "listen": 58, "req": [58, 162, 165, 167, 168], "0x14": [58, 78, 93, 111, 131, 133, 134, 143], "0x18": [58, 78, 93, 115, 131, 133, 134, 143], "0x1c": [58, 78, 131, 133, 134, 143], "0x24": [58, 78, 131, 133, 143], "0x28": [58, 131, 133], "0x34": [58, 131], "0x38": [58, 131], "khz": [58, 59], "0x44": 58, "0x48": 58, "ddr": 59, "failur": 59, "workflow": 59, "realist": [59, 85], "mi_async": [59, 108], "adn": 59, "amm_gen": [59, 60], "amm_addr_width": 59, "amm_burst_count_width": 59, "rand_gen_data_width": 59, "seri": [59, 74, 137, 176], "alow": 59, "se": [59, 65], "lfsr_simple_random_gen": [59, 176], "rand_gen_addr_width": 59, "amm_addr": 59, "random_data_se": 59, "seed": 59, "random_addr_se": 59, "resiz": [59, 94, 141], "3fbf807": 59, "refr_req_before_test": 59, "refr_period_width": 59, "def_refr_period": 59, "amm_probe_en": 59, "default_burst_cnt": 59, "default_addr_limit": 59, "shoud": 59, "debug_rand_addr": 59, "forc": 59, "amm_clk": 59, "amm_rst": 59, "amm_readi": 59, "amm_read": 59, "amm_writ": 59, "amm_address": 59, "amm_read_data": 59, "amm_write_data": 59, "amm_burst_count": 59, "amm_read_data_valid": 59, "refr_period": 59, "refr_req": 59, "refr_ack": 59, "emif_ecc_isr": 59, "whenev": [59, 85, 96, 162], "mi_rst": 59, "print": [59, 60, 145, 151, 154, 155, 156, 157, 162, 166, 168], "concret": 59, "manuali": 59, "h": [59, 60, 141, 151], "logger": [59, 170], "scale": [59, 125], "gen_burst": 59, "messag": [59, 151, 162], "exit": 59, "relat": [59, 102, 104, 162], "rand": [59, 60, 162], "simult": 59, "16777215": 59, "67108860": 59, "137": [59, 168], "03": 59, "66": [59, 129], "41": 59, "80": [59, 76], "250": [59, 75], "75": [59, 74], "1393": 59, "1643": 59, "97": 59, "630": 59, "69": 59, "16165552": 59, "87": 59, "62962": 59, "99": 59, "241581": 59, "111": [59, 142, 143], "128501": 59, "147": 59, "153": 59, "435": 59, "441": 59, "50118": 59, "453": 59, "459": 59, "465": 59, "471": 59, "477": 59, "2570": 59, "483": 59, "489": 59, "495": 59, "62961": 59, "501": 59, "573": 59, "579": 59, "627": 59, "633": 59, "autom": 59, "Will": 59, "tb": 59, "short": [59, 132, 133], "test_mem_test": 59, "report_gen": 59, "pandoc": 59, "texliv": 59, "latex": 59, "engin": [59, 162], "yum": 59, "mem_tester_report": [59, 60], "fig": [59, 60], "raw": [59, 60], "json": 59, "mem_tester_mi": 59, "mi_splitter_plus_gen": [59, 113, 115], "amm_mux": 59, "err": 59, "0x0018": 59, "0x0040": 59, "react": 59, "amm_prob": 59, "seq": [60, 145, 156, 157, 162], "burst_id": 60, "hexa": 60, "vld": [60, 120, 162, 165], "sv": [60, 152, 162, 168], "xml": 60, "rx_dma_hdr_insertor": 61, "bar_aperture_intel": 61, "pcie_mfb_meta_arr": 61, "pcie_cq_meta_bar": 61, "rx_region_s": [61, 67, 94, 131, 133], "rx_block_siz": [61, 67, 94, 131, 133], "rx_item_width": [61, 67, 94, 131, 133], "tx_region": [61, 94, 98, 131, 133], "tx_region_s": [61, 94, 131, 133], "tx_block_siz": [61, 94, 131, 133], "tx_item_width": [61, 94, 119, 131, 133], "pkt_size_max": [61, 66, 68, 69, 71, 73, 74, 104], "eof_po": [61, 94, 163], "sof_po": [61, 67, 132, 163], "tx_mfb_meta": [61, 78, 86, 88, 89, 90, 91, 93, 96, 97, 101, 104, 123], "hdrm_pcie_hdr_data": 61, "127": [61, 76], "hdrm_pcie_hdr_typ": 61, "dw": [61, 139], "hdrm_pcie_hdr_src_rdy_data_tran": 61, "hdrm_pcie_hdr_src_rdy_dma_hdr": 61, "hdrm_pcie_hdr_dst_rdi": 61, "hdrm_dma_chan_num": 61, "hdrm_pkt_drop": 61, "hdrm_dma_hdr_data": 61, "hdrm_dma_hdr_src_rdi": 61, "hdrm_dma_hdr_dst_rdi": 61, "hdrm_pkt_cntr_chan": 61, "hdrm_pkt_sent_inc": 61, "hdrm_pkt_disc_inc": 61, "hdrm_pkt_size": 61, "addr_manag": 62, "ring": [62, 63, 64], "cna": 62, "addr_width": [62, 63, 64, 108, 109, 110, 111, 112, 113, 115, 162, 164], "pointer_width": [62, 63, 64, 66, 68, 70, 72], "number_of_item": [62, 63, 64], "addr_channel": [62, 63], "addr_bas": [62, 63], "addr_mask": [62, 63, 110, 115], "righ": [62, 63], "number_of_max_item": [62, 63], "addr_sw_point": [62, 63], "pointer_update_chan": [62, 63], "pointer_update_data": [62, 63], "pointer_update_en": [62, 63], "FOR": [62, 63, 180], "channel_vld": 62, "channel_reset": [62, 63], "corespond": [62, 63], "pciex": [62, 63], "addr_vld": 62, "pcie_addr_gen": 63, "acord": 63, "genead": 63, "input_s": 63, "pkt_mtu": [63, 64, 77, 79, 81, 86, 100, 101, 151], "input_disc": 63, "input_channel": 63, "input_src_rdi": [63, 120], "input_dst_rdi": [63, 120], "out_addr": [63, 112], "out_offset": 63, "out_addr_vld": 63, "out_disc": 63, "out_last": 63, "out_first": 63, "out_dst_rdi": 63, "rx_dma_hdr_manag": 64, "fist": [64, 151, 167], "ceil": [64, 93], "pkt_size": 64, "dma_discard": 64, "action": [64, 94], "metadata_s": 64, "start_req_channel": 64, "start_req_vld": [64, 66, 69, 73], "start_req_don": 64, "stop_req_channel": 64, "whic": 64, "stop_req_vld": [64, 66, 69, 73], "stop_req_don": 64, "addr_data_channel": 64, "addr_data_bas": 64, "addr_data_mask": 64, "addr_data_sw_point": 64, "addr_header_channel": 64, "addr_header_bas": 64, "addr_header_mask": 64, "addr_header_sw_point": 64, "hdp_update_chan": 64, "hdp_update_data": 64, "hdp_update_en": 64, "hhp_update_chan": 64, "hhp_update_data": 64, "hhp_update_en": 64, "inf_meta": 64, "inf_channel": 64, "inf_pkt_siz": 64, "inf_vld": 64, "inf_src_rdi": 64, "inf_dst_rdi": 64, "pcie_hdr_siz": 64, "pcie_hdr": 64, "pcie_hdr_vld": 64, "pcie_hdr_src_rdy_data_tran": 64, "pcie_hdr_src_rdy_dma_hdr": 64, "pcie_hdr_dst_rdi": 64, "dma_channel": 64, "dma_hdr": 64, "dma_hdr_vld": 64, "allwai": [64, 88, 94, 130], "dma_hdr_src_rdi": 64, "dma_hdr_dst_rdi": 64, "rx_dma_input_buff": 65, "rx_dma_sw_manag": 66, "descriptor": [66, 68, 75], "sw_addr_width": [66, 68], "recv_pkt_cnt_width": [66, 73], "recv_bts_cnt_width": [66, 73], "disc_pkt_cnt_width": [66, 73], "disc_bts_cnt_width": [66, 73], "discart": [66, 73, 133], "pkt_sent_chan": [66, 71, 73, 104], "pkt_sent_inc": [66, 71, 73], "pkt_sent_byt": [66, 71, 73], "pkt_discard_chan": [66, 73], "pkt_discard_inc": [66, 73], "pkt_discard_byt": [66, 73], "start_req_chan": [66, 69, 73], "start_req_ack": [66, 69, 73], "stop_force_chan": 66, "stop_forc": 66, "stop_req_chan": [66, 69, 73], "stop_req_ack": [66, 69, 73], "enabled_chan": [66, 71, 73], "sdp_rd_chan": 66, "sdp_rd_data": 66, "shp_rd_chan": 66, "shp_rd_data": 66, "hdp_wr_chan": [66, 73], "hdp_wr_data": [66, 73], "hdp_wr_en": [66, 73], "hhp_wr_chan": [66, 73], "hhp_wr_data": [66, 73], "hhp_wr_en": [66, 73], "dba_rd_chan": 66, "dba_rd_data": 66, "hba_rd_chan": 66, "hba_rd_data": 66, "dpm_rd_chan": 66, "dpm_rd_data": 66, "hpm_rd_chan": 66, "hpm_rd_data": 66, "rx_dma_trans_buff": 67, "contol": 67, "successful": 67, "buffered_data_s": 67, "insertor": [67, 68, 129, 178], "propabl": 67, "useless": 67, "figur": [68, 74, 75, 102, 115, 126, 132, 141], "rx_dma_calypt": 68, "user_rx_mfb_region": 68, "user_rx_mfb_region_s": 68, "user_rx_mfb_block_s": 68, "user_rx_mfb_item_width": 68, "pcie_up_mfb_region": 68, "pcie_up_mfb_region_s": 68, "pcie_up_mfb_block_s": 68, "pcie_up_mfb_item_width": 68, "cntrs_width": [68, 74], "hdr_meta_width": [68, 71, 74, 75, 77, 104], "trbuf_fifo_en": 68, "user_rx_mfb_meta_hdr_meta": 68, "user_rx_mfb_meta_chan": 68, "user_rx_mfb_meta_pkt_s": 68, "user_rx_mfb_data": 68, "user_rx_mfb_sof": 68, "user_rx_mfb_eof": 68, "user_rx_mfb_sof_po": 68, "user_rx_mfb_eof_po": 68, "user_rx_mfb_src_rdi": 68, "user_rx_mfb_dst_rdi": 68, "pcie_up_mfb_data": 68, "pcie_up_mfb_meta": 68, "pcie_up_mfb_sof": 68, "pcie_up_mfb_eof": 68, "pcie_up_mfb_sof_po": 68, "pcie_up_mfb_eof_po": 68, "pcie_up_mfb_src_rdi": 68, "pcie_up_mfb_dst_rdi": 68, "multiv": [68, 74, 162], "percentag": [68, 150, 158, 160], "uncov": [68, 162], "unreach": 68, "comun": [68, 167], "conf": [68, 74], "num": [68, 162], "40gb": 68, "32_channel": 68, "1942": 68, "4521": 68, "0038": 68, "62": [68, 69, 70, 71, 72, 139, 168], "6153": 68, "61": 68, "4392": 68, "7494": 68, "opt_fifo_en": 68, "65": 68, "3934": 68, "9822": 68, "9905": 68, "spead": [68, 74], "allwei": [68, 74], "dut": [68, 74, 144, 145, 148, 151, 156, 157, 158, 160, 162, 163, 164, 165, 167, 168], "deviat": [68, 74], "53n": 68, "68n": 68, "57n": 68, "5n": 68, "40n": 68, "1752n": 68, "56n": 68, "63n": 68, "44n": 68, "428n": 68, "294n": 68, "158n": 68, "tx_dma_chan_start_stop_ctrl": 69, "usr_mfb_": 69, "till": [69, 113, 162], "delimit": [69, 70, 74, 149], "pcie_mfb_region": [69, 70], "pcie_mfb_region_s": [69, 70], "pcie_mfb_block_s": [69, 70], "pcie_mfb_item_width": [69, 70], "largest": [69, 72, 74], "usr_mfb": 69, "dbg_signal_width": 69, "pcie_mfb_data": [69, 70, 72], "pcie_mfb_meta": [69, 70, 72], "pcie_mfb_sof": [69, 70, 72], "pcie_mfb_eof": [69, 70, 72], "pcie_mfb_sof_po": [69, 70, 72], "pcie_mfb_eof_po": [69, 70, 72], "pcie_mfb_src_rdi": [69, 70, 72], "pcie_mfb_dst_rdi": [69, 70, 72], "usr_mfb_data": [69, 70, 71], "usr_mfb_meta": 69, "usr_mfb_sof": [69, 70, 71], "usr_mfb_eof": [69, 70, 71], "usr_mfb_sof_po": [69, 70, 71], "usr_mfb_eof_po": [69, 70, 71], "usr_mfb_src_rdi": [69, 70, 71], "usr_mfb_dst_rdi": [69, 70, 71], "tx_dma_sw_manag": [69, 73], "pkt_disc_chan": 69, "pkt_disc_inc": 69, "pkt_disc_byt": 69, "st_sp_dbg_chan": [69, 74, 75], "st_sp_dbg_meta": [69, 74, 75], "dropper": [69, 168, 178], "tx_dma_metadata_extractor": 70, "care": [70, 101, 113, 132, 149], "useful": 70, "pcie_meta_pack": 70, "usr_mfb_meta_byte_en": 70, "usr_mfb_meta_is_dma_hdr": 70, "usr_mfb_meta_pcie_addr": 70, "usr_mfb_meta_chan_num": 70, "usr_mfb_meta_byte_cnt": 70, "depars": [70, 183], "cutter": [70, 131, 141, 178], "tx_dma_pkt_dispatch": 71, "accor": 71, "did": [71, 131], "mfb_region_s": [71, 72, 79, 81, 86, 89, 90, 91, 93, 97, 100, 101, 104, 107, 123, 135, 138], "data_pointer_width": [71, 73, 74], "dma_hdr_pointer_width": [71, 73, 74], "usr_mfb_meta_hdr_meta": 71, "usr_mfb_meta_chan": 71, "usr_mfb_meta_pkt_s": 71, "hdr_buff_addr": 71, "hdr_buff_chan": 71, "hdr_buff_data": 71, "dma_hdr_width": 71, "hdr_buff_src_rdi": 71, "hdr_buff_dst_rdi": 71, "buff_rd_chan": 71, "buff_rd_data": 71, "buff_rd_addr": 71, "buff_rd_en": 71, "upd_hdp_chan": 71, "upd_hdp_data": 71, "upd_hdp_en": 71, "upd_hhp_chan": 71, "upd_hhp_data": 71, "upd_hhp_en": 71, "tx_dma_pcie_trans_buff": 72, "instantiati": 72, "constist": [72, 74], "footprint": 72, "quasi": 72, "resolut": [72, 94, 132], "rd_chan": 72, "barrel": [72, 176], "shifter": [72, 176], "acc": 73, "anot": 73, "signifi": [73, 102, 126], "channel_cor": 73, "pipe": [73, 77, 87, 88, 95, 115, 120, 124, 138, 176, 178, 179, 180], "np": 73, "memx": 73, "tx_dma_calypt": 74, "usr_tx_": 74, "pcie_cq_": 74, "unsort": 74, "usr_tx_mfb_region": 74, "usr_tx_mfb_region_s": 74, "usr_tx_mfb_block_s": 74, "usr_tx_mfb_item_width": 74, "pcie_cq_mfb_region": [74, 75], "pcie_cq_mfb_region_s": [74, 75], "pcie_cq_mfb_block_s": [74, 75], "pcie_cq_mfb_item_width": [74, 75], "pcie_cc_mfb_region": [74, 75], "pcie_cc_mfb_region_s": [74, 75], "pcie_cc_mfb_block_s": [74, 75], "pcie_cc_mfb_item_width": [74, 75], "st_sp_dbg_signal_w": [74, 75], "usr_tx_mfb": 74, "usr_tx_mfb_meta_pkt_s": [74, 75], "usr_tx_mfb_meta_chan": [74, 75], "usr_tx_mfb_meta_hdr_meta": [74, 75], "usr_tx_mfb_data": [74, 75], "usr_tx_mfb_sof": [74, 75], "usr_tx_mfb_eof": [74, 75], "usr_tx_mfb_sof_po": [74, 75], "usr_tx_mfb_eof_po": [74, 75], "usr_tx_mfb_src_rdi": [74, 75], "usr_tx_mfb_dst_rdi": [74, 75], "pcie_cq_mfb_data": [74, 75], "pcie_cq_mfb_meta": [74, 75], "pcie_cq_mfb_sof": [74, 75], "pcie_cq_mfb_eof": [74, 75], "pcie_cq_mfb_sof_po": [74, 75], "pcie_cq_mfb_eof_po": [74, 75], "pcie_cq_mfb_src_rdi": [74, 75], "pcie_cq_mfb_dst_rdi": [74, 75], "pcie_cc_mfb_data": [74, 75], "pcie_cc_mfb_meta": [74, 75], "pcie_cc_mfb_sof": [74, 75], "pcie_cc_mfb_eof": [74, 75], "pcie_cc_mfb_sof_po": [74, 75], "pcie_cc_mfb_eof_po": [74, 75], "pcie_cc_mfb_src_rdi": [74, 75], "pcie_cc_mfb_dst_rdi": [74, 75], "extractor": [74, 141], "dispatch": 74, "3494": 74, "74": [74, 168], "9002": 74, "5762": 74, "4_channel": 74, "76": 74, "4729": 74, "8_channel": 74, "min_pcie_fram": 74, "77": 74, "6599": 74, "3954": 74, "buff_size_smal": 74, "2113": 74, "7632": 74, "4380": 74, "buff_size_larg": 74, "3069": 74, "8577": 74, "755337": 74, "defaulit": 74, "28n": 74, "500n": 74, "175n": 74, "83n": 74, "816n": 74, "183n": 74, "97n": 74, "24n": 74, "944n": 74, "192n": 74, "111n": 74, "buff_size_big": 74, "dma_calypt": 75, "primari": [75, 134, 167], "focus": [75, 113], "usr_mfb_region": 75, "usr_mfb_region_s": 75, "usr_mfb_block_s": 75, "usr_mfb_item_width": 75, "pcie_rq_mfb_region": 75, "configr": 75, "pcie_rq_mfb_region_s": 75, "pcie_rq_mfb_block_s": 75, "pcie_rq_mfb_item_width": 75, "extract": [75, 86, 131, 141, 149], "rx_channel": [75, 116], "rx_ptr_width": 75, "sdp": 75, "hdp": 75, "usr_rx_pkt_size_max": 75, "tx_channel": [75, 116], "tx_sel_channel": 75, "dma_endpoint": 75, "tx_ptr_width": 75, "usr_tx_pkt_size_max": 75, "area": 75, "dsp_cnt_width": 75, "rx_gen_en": 75, "tx_gen_en": 75, "usr_rx_mfb_meta_pkt_s": 75, "usr_rx_mfb_meta_chan": 75, "usr_rx_mfb_meta_hdr_meta": 75, "usr_rx_mfb_data": 75, "usr_rx_mfb_sof": 75, "usr_rx_mfb_eof": 75, "usr_rx_mfb_sof_po": 75, "usr_rx_mfb_eof_po": 75, "usr_rx_mfb_src_rdi": 75, "usr_rx_mfb_dst_rdi": 75, "pcie_rq_mfb_data": 75, "upstream": [75, 140, 141], "pcie_rq_mfb_meta": 75, "pcie_rq_mfb_sof": 75, "pcie_rq_mfb_eof": 75, "pcie_rq_mfb_sof_po": 75, "pcie_rq_mfb_eof_po": 75, "pcie_rq_mfb_src_rdi": 75, "pcie_rq_mfb_dst_rdi": 75, "downstream": [75, 140, 141], "kintex": 75, "framelinkunalign": 76, "successor": 76, "framelink": 76, "buss": 76, "wast": 76, "bandwidth": 76, "sop_po": 76, "treat": 76, "_n": 76, "sop_pos_width": 76, "sop": [76, 90], "eop_po": 76, "eop": 76, "infrastructur": [76, 175], "flu_": 76, "fl_": 76, "instant": 76, "text": [76, 162], "editor": 76, "p1": 76, "p2": 76, "135": 76, "48": [76, 91, 97, 131], "103": 76, "had": [76, 115], "125": [76, 129], "104": 76, "0x1f": 76, "248": 76, "511": [76, 132], "rx_sop_po": [76, 98], "rx_eop_po": [76, 98], "rx_sop": [76, 98], "rx_eop": [76, 98], "tx_sop_po": [76, 98], "tx_eop_po": [76, 98], "tx_sop": [76, 98], "tx_eop": [76, 98], "_data": [76, 162], "_sop_po": 76, "_eop_po": 76, "_sop": 76, "_eop": 76, "_src_rdy": 76, "plane": 77, "eth_rx": 77, "dma_rx": 77, "dma_tx": 77, "eth_tx": 77, "mux_c": 77, "mux_a": 77, "mux_b": 77, "mux_d": 77, "player": 77, "0x000": 77, "0x004": 77, "0x008": 77, "0x00c": 77, "0x040": 77, "0x04c": 77, "tic": 77, "0x4": [77, 115, 154, 155], "0x8": 77, "0xc": 77, "0x050": 77, "0x05c": 77, "0x060": 77, "0x06c": 77, "0x070": 77, "0x07c": 77, "0x080": 77, "0x0bf": 77, "0x0c0": 77, "0x0ff": 77, "0x17f": 77, "0x180": 77, "0x1bf": 77, "0x1c0": 77, "0x1ff": 77, "mfb_generator_mi32": [77, 78], "mux": [77, 112, 125, 176, 181], "rx_dma_channel": 77, "npp_hdr_size": 77, "npp": 77, "tx_dma_channel": 77, "player_fifo_depth": 77, "rx_hdr_ins_en": 77, "same_clk": [77, 87], "asynch": 77, "convers": [77, 93, 129, 133, 138, 143, 145, 156, 157, 183], "mi_pipe_en": 77, "fake_switch": 77, "besid": [77, 87], "eth_rx_mvb_len": 77, "eth_rx_mvb_channel": 77, "eth_rx_mvb_hdr_meta": 77, "eth_rx_mvb_discard": 77, "eth_tx_mvb_len": 77, "eth_tx_mvb_channel": 77, "eth_tx_mvb_hdr_meta": 77, "eth_tx_mvb_vld": 77, "eth_tx_mvb_src_rdi": 77, "eth_tx_mvb_dst_rdi": 77, "dst": [78, 116, 125, 162], "cntr": 78, "burst_siz": 78, "incr": [78, 116], "ch_max": [78, 116], "ch_min": [78, 116], "rr": [78, 116], "use_pacp_arch": 78, "begener": 78, "0xffff": 78, "length_width": [78, 86, 100], "channels_width": 78, "pkt_cnt_width": 78, "0xff0001": [78, 116], "0x070401": [78, 116], "0xff0002": [78, 116], "0x050501": [78, 116], "mfb_frame_extend": 79, "effici": [79, 121, 123], "mvb_fifo_depth": 79, "mfb_fifo_depth": 79, "usermeta_width": [79, 81], "rx_mvb_usermeta": [79, 81], "rx_mvb_frame_length": 79, "rx_mvb_ext_siz": 79, "divis": [79, 94], "rx_mvb_ext_onli": 79, "ext_siz": 79, "rx_mvb_ext_en": 79, "rx_mvb_vld": [79, 81, 86, 90, 95, 123, 128], "rx_mvb_src_rdy": [79, 81, 86, 90, 95, 123, 128], "rx_mvb_dst_rdy": [79, 81, 86, 90, 95, 123, 128], "tx_mvb_usermeta": [79, 81], "tx_mfb_usermeta": 79, "mfb_frame_trimm": 80, "minimalist": 80, "meta_width": [80, 82, 83, 84, 85, 87, 89, 92, 94, 96, 98, 99, 105, 108, 109, 112, 113, 115, 123, 128, 148, 151, 160, 161, 162, 163, 164], "len_width": 80, "rx_trim_en": 80, "trim": [80, 81], "rx_sof": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107, 132], "rx_trim_len": 80, "rx_meta": [80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107], "rx_sof_po": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107, 132], "rx_eof_po": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107], "rx_eof": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107, 132], "tx_meta": [80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107], "tx_sof": [80, 82, 83, 84, 92, 94, 99, 103, 105, 107, 132], "tx_sof_po": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107], "tx_eof_po": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107, 132], "tx_eof": [80, 82, 83, 84, 92, 94, 99, 103, 105, 107, 132], "mfb_crossbarx_stream2": 81, "rearrang": 81, "in_stream": 81, "pkt_id_width": 81, "mod_width": 81, "clk_x2": 81, "rx_mvb_discard": 81, "rx_mvb_mod_sof_s": 81, "truncat": 81, "rx_mvb_mod_sof_en": 81, "rx_mvb_mod_sof_typ": 81, "rx_mvb_mod_eof_s": 81, "rx_mvb_mod_eof_en": 81, "rx_mvb_mod_eof_typ": 81, "mfb_cutter_simpl": 82, "cut": [82, 86, 131, 141, 146, 147, 150], "cutted_item": 82, "meta_align": 82, "WITH": [82, 83, 84], "rx_cut": 82, "mfb_dropper": 83, "rx_drop": 83, "mfb_enabl": 84, "tx_enabl": 84, "middl": [84, 102, 142, 143, 148, 150, 158, 160, 162, 167], "stat_discard": 84, "possit": [84, 92, 103, 105, 106], "OF": 84, "mfb_frame_mask": 85, "use_pip": 85, "mfb_pipe": [85, 92], "pipe_typ": [85, 92, 112, 115], "shreg": [85, 92, 112, 115, 162], "tx_sof_mask": [85, 86], "tx_eof_mask": 85, "withouth": 85, "partial": [85, 94, 151], "tx_sof_unmask": 85, "tx_eof_unmask": 85, "tx_src_rdy_unmask": 85, "layout": 85, "tx_sof_origin": 85, "tx_eof_origin": 85, "tx_src_rdy_origin": 85, "tx_mask": 85, "brand": 85, "demonst": 85, "sof_unmask": 85, "visual": 85, "situat": [85, 94, 102, 120, 132, 140, 162], "sof_origin": 85, "menawhil": 85, "rule": [85, 102, 116, 126, 132, 144, 162, 163], "unwant": 85, "behaviour": [85, 124, 165], "intefac": [85, 95], "ex_test": 85, "unverifi": 85, "intensli": 85, "tap": [85, 162], "model": [85, 151, 185], "frame_unpack": 86, "superpacket": 86, "header_length": 86, "60": [86, 131], "littl": [86, 93], "endian": 86, "deriv": [86, 94, 97, 102, 104], "mblock_siz": 86, "deal": 86, "po": [86, 100], "mvb_item": [86, 88, 90, 95, 104, 117, 121, 122, 123, 124, 128], "mvb_item_width": [86, 90, 95, 121, 123, 162], "prepend": 86, "16b": [86, 143], "necesari": 86, "unpacking_stag": 86, "meta_out_mod": 86, "rx_mvb_data": [86, 90, 95, 123], "getit_indv_hdr_data": 86, "subtract": 86, "search": [86, 129], "consum": [86, 94, 124, 125], "processor": 86, "creator": 86, "offset_processor": 86, "op": [86, 124, 152], "mvb_aggregate_last_vld": 86, "mfb_meta_width": [86, 88, 89, 90, 91, 93, 97, 100, 101, 104, 107], "max_word": 86, "strech": 86, "offset_width": [86, 100], "last_vld_impl": 86, "prefixsum": 86, "inf": [86, 91], "rx_offset": [86, 100], "u_array_t": 86, "rx_length": [86, 100], "rx_word": 86, "rx_old_sof": 86, "rx_new_sof": 86, "rx_sof_mask": 86, "tx_offset": 86, "tx_word": 86, "tx_old_sof": 86, "sof_creat": 86, "lastli": 86, "hdr_length": 86, "region_numb": 86, "withing": 86, "unsign": [86, 145, 151, 162, 165, 167], "tx_length": 86, "tx_new_sof": 86, "mfb_loopback": 87, "far": 87, "fake_loopback": 87, "piped_port": 87, "rx_data_in": 87, "rx_meta_in": 87, "rx_sof_in": 87, "rx_eof_in": 87, "rx_sof_pos_in": 87, "rx_eof_pos_in": 87, "rx_src_rdy_in": 87, "rx_dst_rdy_in": 87, "rx_data_out": 87, "rx_meta_out": 87, "rx_sof_out": 87, "rx_eof_out": 87, "rx_sof_pos_out": 87, "rx_eof_pos_out": 87, "rx_src_rdy_out": 87, "rx_dst_rdy_out": 87, "tx_data_out": 87, "tx_meta_out": 87, "tx_sof_out": 87, "tx_eof_out": 87, "tx_sof_pos_out": 87, "tx_eof_pos_out": 87, "tx_src_rdy_out": 87, "tx_dst_rdy_out": 87, "tx_data_in": 87, "tx_meta_in": 87, "tx_sof_in": 87, "tx_eof_in": 87, "tx_sof_pos_in": 87, "tx_eof_pos_in": 87, "tx_src_rdy_in": 87, "tx_dst_rdy_in": 87, "mfb_merger": 88, "characterist": [88, 90, 94, 95], "hdr_width": [88, 95, 151, 162], "rx0_payload_en": 88, "rx1_payload_en": 88, "input_fifo_s": 88, "sw_timeout_width": 88, "in_pipe_en": 88, "out_pipe_en": [88, 95], "rx0_mvb_hdr": 88, "rx0_mvb_payload": 88, "rx0_mvb_vld": 88, "rx0_mvb_src_rdy": 88, "rx0_mvb_dst_rdy": 88, "rx0_mfb_data": 88, "rx0_mfb_meta": 88, "rx0_mfb_sof": 88, "rx0_mfb_eof": 88, "rx0_mfb_sof_po": 88, "rx0_mfb_eof_po": 88, "rx0_mfb_src_rdy": 88, "rx0_mfb_dst_rdy": 88, "rx1_mvb_hdr": 88, "rx1_mvb_payload": 88, "rx1_mvb_vld": 88, "rx1_mvb_src_rdy": 88, "rx1_mvb_dst_rdy": 88, "rx1_mfb_data": 88, "rx1_mfb_meta": 88, "rx1_mfb_sof": 88, "rx1_mfb_eof": 88, "rx1_mfb_sof_po": 88, "rx1_mfb_eof_po": 88, "rx1_mfb_src_rdy": 88, "rx1_mfb_dst_rdy": 88, "tx_mvb_hdr": 88, "tx_mvb_payload": [88, 95], "lesser": [88, 176], "preffer": 88, "suppos": 88, "mfb_merger_simpl": 89, "cnt_max": 89, "masking_en": 89, "rx_mfb0_data": 89, "rx_mfb0_meta": 89, "rx_mfb0_sof": 89, "rx_mfb0_sof_po": 89, "rx_mfb0_eof": 89, "rx_mfb0_eof_po": 89, "rx_mfb0_src_rdy": 89, "rx_mfb0_dst_rdy": 89, "rx_mfb1_data": 89, "rx_mfb1_meta": 89, "rx_mfb1_sof": 89, "rx_mfb1_sof_po": 89, "rx_mfb1_eof": 89, "rx_mfb1_eof_po": 89, "rx_mfb1_src_rdy": 89, "rx_mfb1_dst_rdy": 89, "mfb_merger_simple_gen": 89, "merger_input": 89, "rx_mfb_meta": [89, 90, 91, 93, 96, 97, 100, 101], "metadata_insertor": 90, "insert_mod": 90, "slight": [90, 132], "mvb_fifo_s": 90, "mvb_fifox_multi": 90, "tx_mfb_meta_new": 90, "mfb_packet_delay": 91, "ts_format": 91, "ts_width": 91, "fifo_depth": [91, 105, 119, 122, 127], "mfb_fifox": [91, 105], "fifo_af_offset": 91, "time_reset": 91, "current_tim": 91, "decid": [91, 106, 115, 165], "ok": [91, 131], "qualiti": 91, "pause_request": 91, "rx_mfb_t": 91, "fake_pip": [92, 112], "use_dst_rdi": 92, "pattern": [93, 149, 162, 167], "slow": [93, 180], "interval_count": 93, "interval_length": 93, "section_length": 93, "proport": 93, "restor": 93, "won": 93, "surpass": 93, "met": 93, "1000": [93, 137, 139], "arrow": 93, "10gb": [93, 133], "50gb": 93, "0gb": 93, "75gb": 93, "60gb": 93, "output_spe": 93, "recalcul": 93, "rate_limit": 93, "62500": 93, "0x": 93, "paragraph": 93, "sr": [93, 176], "busi": [93, 111], "ro": [93, 134, 143], "shape": [93, 114], "wo": [93, 143], "rw": [93, 131, 133, 134, 143], "intro": 93, "straightforward": 93, "0b000001": 93, "transit": [93, 103, 108, 132, 152], "0b000100": 93, "0b000010": 93, "phase": [93, 148, 151, 158, 160, 161, 162], "impli": 93, "restart": 93, "steadi": 93, "particular": [93, 134, 145, 156, 157], "pai": 93, "attent": 93, "return": [93, 97, 113, 132, 151, 162, 167], "despair": 93, "workaround": 93, "accordingli": [93, 115, 132, 149], "understand": [93, 113], "tricki": 93, "bscn": 93, "conv_gbs2bscn": 93, "conv_bscn2gb": 93, "pscn": 93, "conv_ps2pscn": 93, "conv_pscn2p": 93, "xscn": 93, "xp": 93, "hz": [93, 97], "sectionlength": 93, "mbp": 93, "Or": 93, "upfront": 93, "minimumspe": 93, "bp": 93, "mfb_word_width": 93, "halt": [93, 141], "equat": 93, "solut": [93, 98, 162], "smallest": [93, 101, 102], "potenti": 93, "perceiv": 93, "suddenli": 93, "whatev": 93, "wish": [93, 162], "rememb": [93, 114], "upon": 93, "encount": 93, "signific": [93, 115], "extrem": 93, "circumst": 93, "exce": 93, "border": 93, "parameter": 94, "bot": [94, 167], "attribut": 94, "cappabl": 94, "decreas": [94, 98, 101, 145, 156, 157], "mfb_item_reconfigur": 94, "NOT": [94, 131], "bigger": [94, 126], "mfb_block_reconfigur": 94, "ineffici": 94, "frame_align": 94, "unnessesari": 94, "meta_mod": 94, "fifo_s": 94, "mfb_region_reconfigur": 94, "rx_region": [94, 98, 131, 133], "realiz": [94, 113, 115], "fact": [94, 146, 147, 150], "conenct": 94, "wider": [94, 114], "actuali": 94, "secondari": 94, "beginig": 94, "regino": 94, "prevent": [94, 140, 141], "frames_over_tx_block": 94, "frames_over_tx_region": 94, "sake": [94, 102, 162], "difficulti": 94, "othe": 94, "mfb_splitter": 95, "mvb_meta_width": 95, "mvb_output_fifo_s": 95, "use_outreg": [95, 112], "rx_mvb_hdr": 95, "rx_mvb_meta": [95, 123], "rx_mvb_switch": 95, "rx_mvb_payload": 95, "tx0_mvb_hdr": 95, "tx0_mvb_meta": 95, "tx0_mvb_payload": 95, "tx0_mvb_vld": 95, "tx0_mvb_src_rdy": 95, "tx0_mvb_dst_rdy": 95, "tx0_mfb_data": [95, 96], "tx0_mfb_sof": [95, 96], "tx0_mfb_eof": [95, 96], "tx0_mfb_sof_po": [95, 96], "tx0_mfb_eof_po": [95, 96], "tx0_mfb_src_rdy": [95, 96], "tx0_mfb_dst_rdy": [95, 96], "tx1_mvb_hdr": 95, "tx1_mvb_meta": 95, "tx1_mvb_payload": 95, "tx1_mvb_vld": 95, "tx1_mvb_src_rdy": 95, "tx1_mvb_dst_rdy": 95, "tx1_mfb_data": [95, 96], "tx1_mfb_sof": [95, 96], "tx1_mfb_eof": [95, 96], "tx1_mfb_sof_po": [95, 96], "tx1_mfb_eof_po": [95, 96], "tx1_mfb_src_rdy": [95, 96], "tx1_mfb_dst_rdy": [95, 96], "mfb_splitter_gen": 95, "splitter_output": [95, 96], "output_fifo_s": 95, "mfb_splitter_simpl": 96, "rx_mfb_sel": 96, "tx0_mfb_meta": 96, "tx1_mfb_meta": 96, "mfb_splitter_simple_gen": 96, "numer": [96, 162], "ofc": 96, "mfb_timestamp_limit": 97, "rx_mfb_timestamp": 97, "timestamp_format": 97, "kept": [97, 109, 140, 141], "delay": [97, 178], "selected_queu": 97, "unselect": [97, 176], "clk_frequenc": 97, "external_time_src": 97, "external_tim": 97, "mi_reset_reg": 97, "mi_sel_queue_reg": 97, "bypass": [97, 100], "redirect": 97, "ON": 97, "mi_top_speed_reg": 97, "200000000": 97, "timestamp_width": 97, "buffer_s": 97, "buffer_af_offset": 97, "pause_queu": 97, "rx_mfb_queue": 97, "mfb_transform": 98, "fewer": 98, "region_aux_en": 99, "block_aux_en": 99, "item_aux_en": 99, "tx_region_shar": 99, "tx_region_vld": 99, "tx_block_vld": 99, "tx_item_vld": 99, "checksum_calcul": 100, "ipv4": 100, "tcp": 100, "udp": 100, "rx_chsum_en": 100, "invert": [100, 129], "tx_chsum_bypass": 100, "rework": 100, "network_ord": 100, "tx_mvb_meta": 100, "calucul": 100, "crossbarx_stream": 101, "shrink": 101, "front": 101, "cx_use_clk2": 101, "cx_use_clk_arb": 101, "obuf_meta_eq_output": 101, "obuf_input_eq_output": 101, "trans_fifo_s": [101, 133], "f_gap_adjust_en": 101, "f_gap_adjust_size_avg": 101, "f_gap_adjust_size_min": 101, "f_extend_start_en": 101, "f_extend_start_s": 101, "neg": [101, 129], "f_extend_end_en": 101, "f_extend_end_s": 101, "rx_clk2": 101, "rx_reset": [101, 103, 107, 131, 133, 151], "tx_reset": [101, 103, 107, 131, 133], "cx_clk_arb": 101, "cx_reset_arb": 101, "rx_mfb_discard": 101, "cx": 101, "basi": 102, "great": 102, "versatil": 102, "recogn": 102, "placement": 102, "incomplet": 102, "word_width": [102, 126, 165], "sof_pos_width": 102, "eof_pos_width": 102, "transmitt": [102, 126], "1001": 102, "exact": 102, "logarithm": [102, 143], "multipli": [102, 115, 142, 143, 165, 176], "1110": [102, 126], "unlik": 102, "product": 102, "101_000_000_000": 102, "5th": 102, "3rd": [102, 115], "110_010_000_011": 102, "zeroth": 102, "0th": [102, 132], "6th": 102, "earlier": [102, 162, 168], "six": [102, 164], "000000_000000_000000_000000": 102, "001100_011111_000000_000001": 102, "31st": 102, "12th": 102, "110010_010101_111000_110000": 102, "48th": 102, "56th": 102, "21st": 102, "50th": 102, "simplic": [102, 132, 162], "hexadecim": [102, 113], "letter": 102, "conduct": [102, 126], "hold": [102, 131, 133, 162], "underscor": 102, "convent": 102, "lsb": [102, 111, 120, 126, 132], "maintain": [102, 126, 180], "wherea": [102, 126, 162], "mfb_asfifox": 103, "rx_aful": [103, 107], "rx_statu": 103, "tx_aempti": 103, "tx_statu": 103, "mfb_crossbarx_output_buff": 104, "automaticli": 104, "mfb_meta_with_sof": 104, "buf_block": 104, "data_block_s": 104, "data_item_width": 104, "buf_word": 104, "meta_eq_output": 104, "clk_meta": 104, "clk_out": 104, "input_eq_output": 104, "clk_in": 104, "alias": 104, "data_block_width": 104, "buf_byt": 104, "reset_meta": 104, "reset_in": 104, "reset_out": 104, "wr_ie": 104, "rx_hdr_meta": 104, "rx_hdr_mfb_meta": 104, "rx_hdr_chan": 104, "rx_hdr_addr": 104, "rx_hdr_len": 104, "rx_hdr_vld": 104, "rx_hdr_src_rdy": 104, "rx_hdr_dst_rdy": 104, "rd_ptr": 104, "pkt_sent_len": 104, "pkt_sent_src_rdi": 104, "pkt_sent_dst_rdi": 104, "tx_mvb_len": 104, "tx_mvb_hdr_meta": 104, "tx_mvb_channel": 104, "fifo_width": [105, 124, 127], "288000": [105, 124, 127], "almost_ful": [105, 127], "almost_empti": [105, 127], "fifo_statu": 105, "fifo_aful": 105, "fifo_aempti": 105, "multip": 106, "immidi": [106, 140], "ptr": 106, "delet": [106, 129, 162, 164], "himself": 106, "pd": [106, 133, 178], "mfb_pd_asfifo_simpl": 107, "afull_offset": 107, "rx_discard": [107, 118, 162], "unexpect": [108, 138], "reset_log": 108, "violat": 108, "clk_m": 108, "reset_m": 108, "mi_m_dwr": 108, "mi_m_mwr": 108, "mi_m_addr": 108, "mi_m_rd": 108, "mi_m_wr": 108, "mi_m_b": 108, "mi_m_drd": 108, "mi_m_ardi": 108, "mi_m_drdi": 108, "clk_": 108, "reset_": 108, "mi_s_dwr": 108, "mi_s_mwr": 108, "mi_s_addr": 108, "mi_s_rd": 108, "mi_s_wr": 108, "mi_s_b": 108, "mi_s_drd": 108, "mi_s_ardi": 108, "mi_s_drdi": 108, "gate": [109, 176], "mi_mwr": 109, "avmm_address": 109, "avmm_writ": 109, "avmm_read": 109, "avmm_byteen": 109, "avmm_writedata": 109, "avmm_readdata": 109, "avmm_readdatavalid": 109, "avmm_waitrequest": 109, "debugaccess": 109, "writeresponsevalid": 109, "lock": [109, 129, 150], "burstcount": 109, "beginbursttransf": 109, "readdatavalid": 109, "opposit": [109, 132], "drdy": [109, 113, 164], "unabl": 109, "ardi": [109, 113, 164], "negat": 109, "waitrequestallow": 109, "axi_data_width": [110, 135], "axi_awid": 110, "axi_awaddr": 110, "axi_awlen": 110, "axi_aws": 110, "axi_awburst": 110, "axi_awprot": 110, "axi_awvalid": 110, "axi_awreadi": 110, "axi_wdata": 110, "axi_wstrb": 110, "axi_wvalid": 110, "axi_wreadi": 110, "axi_bid": 110, "axi_bresp": 110, "axi_bvalid": 110, "axi_breadi": 110, "axi_arid": 110, "axi_araddr": 110, "axi_arlen": 110, "axi_ars": 110, "axi_arburst": 110, "axi_arprot": 110, "axi_arvalid": 110, "axi_arreadi": 110, "axi_rid": 110, "axi_rdata": 110, "axi_rresp": 110, "axi_rlast": 110, "axi_rvalid": 110, "axi_rreadi": 110, "indirectli": 111, "mi_indirect_access": 111, "output_interfac": 111, "rx_addr": [111, 115], "rx_dwr": [111, 115], "rx_wr": [111, 115], "rx_rd": [111, 115], "rx_ardi": [111, 115], "rx_drd": [111, 115], "rx_drdy": [111, 115], "tx_addr": [111, 115], "tx_dwr": [111, 115], "tx_wr": [111, 115], "tx_rd": [111, 115], "tx_ardi": [111, 115], "tx_drd": [111, 115], "tx_drdy": [111, 115], "reqest": 111, "cmd": [111, 131, 133], "mi_pip": [112, 138], "misc": 112, "opt": 112, "in_dwr": 112, "in_mwr": 112, "in_addr": 112, "in_b": [112, 151], "in_rd": 112, "in_wr": 112, "in_ardi": 112, "in_drd": 112, "in_drdi": 112, "out_dwr": 112, "out_mwr": 112, "out_b": 112, "out_rd": 112, "out_wr": 112, "out_ardi": 112, "out_drd": 112, "out_drdi": 112, "dwr": [113, 114, 164], "mwr": 113, "drd": [113, 114, 164], "surpris": 113, "recipi": 113, "american": 113, "influenc": [113, 121], "vast": 113, "disjoint": 113, "critic": 113, "theoret": 113, "essenti": [113, 162], "realli": 113, "d0": [113, 168], "b0": [113, 162, 167, 168], "a0": 113, "inact": 113, "arbitrarili": 113, "d2": 113, "d3": 113, "principl": [113, 120], "a1": [113, 132], "respond": [113, 138, 162, 164], "anyhow": 113, "regardless": 113, "d1": 113, "coupl": 113, "answer": 113, "a2": [113, 132], "a5": 113, "a3": 113, "respones": 113, "d8": [113, 168], "fourth": [113, 115], "d9": 113, "aim": 113, "1234": 113, "98xx": 113, "98": 113, "xx": 113, "requ": 113, "54xx": 113, "5476": 113, "4321": 113, "67xx": 113, "0089": 113, "0045": 113, "produc": [114, 151], "rquest": 114, "pipe_out": 115, "pipe_outreg": 115, "init_addr_base_downto": 115, "caution": 115, "init_addr_mask_downto": 115, "port_map": 115, "init_port_mapping_downto": 115, "constain": 115, "rx_mwr": 115, "rx_be": 115, "tx_mwr": 115, "tx_be": 115, "said": 115, "theori": 115, "abnorm": 115, "perhap": 115, "0x3": 115, "0x7": 115, "0x00000003": 115, "0x00000004": 115, "0x00000007": 115, "0x00000008": 115, "0x0000000b": 115, "0x0000000c": 115, "0x0000000f": 115, "0x00000010": 115, "0x000000013": 115, "0x00000014": 115, "0x000000017": 115, "0x00000018": 115, "0xfffffffff": 115, "0x00000001": 115, "0x00000002": 115, "0x00000005": 115, "0x00000006": 115, "0x0000001c": 115, "ORed": 115, "interleav": 115, "yourselv": 115, "0x0000001f": 115, "000000": 115, "000111": 115, "0x00000020": 115, "0x0000003f": 115, "001000": 115, "001111": 115, "0x00000040": 115, "0x00000077": 115, "010000": 115, "011101": 115, "0x00000078": 115, "0x000000ab": 115, "011110": 115, "101010": 115, "0x000000ac": 115, "0x000000cb": 115, "101011": 115, "110010": 115, "0x000000cc": 115, "0x000000f7": 115, "110011": 115, "111101": 115, "0x000000f8": 115, "0x000001fb": 115, "111110": 115, "111111": 115, "advanc": [115, 144, 163, 177], "gonna": 115, "fifth": 115, "somewhat": 115, "messi": 115, "talk": 115, "pretti": 115, "0xffffffff": 115, "mvb_channel_router_mi": 116, "default_mod": 116, "distr": 116, "0x000004": 116, "0x000008": 116, "rsvd": 116, "opt_mod": 116, "ch_cnt": 116, "ch_out": 116, "ch_next": 116, "dst_channel": 116, "ch_diff": 116, "src_channel": 116, "rx_vld": [116, 117, 118, 121, 122, 124, 125, 127], "tx_vld": [116, 117, 118, 119, 121, 122, 124, 125, 127], "demultiplex": [117, 176], "gen_mvb_demux": 117, "demux_width": 117, "data_demux": 117, "mvb_merge_item": 119, "alig": 119, "rx0": 119, "rx0_item": 119, "rx0_item_width": 119, "rx1_item": 119, "rx1": 119, "rx1_item_width": 119, "rx0_fifo_en": 119, "rx0_data": 119, "rx0_vld": 119, "rx0_src_rdy": 119, "rx0_dst_rdy": 119, "rx1_data": 119, "rx1_vld": 119, "rx1_src_rdy": 119, "rx1_dst_rdy": 119, "tx_data0": 119, "tx_data1": 119, "lost": 120, "din": 120, "din_vld": 120, "din_src_rdi": 120, "din_dst_rdi": 120, "dout": 120, "dout_vld": 120, "dout_src_rdi": 120, "dout_dst_rdi": 120, "obsolet": [120, 131, 133, 172, 177], "risk": 120, "input_data": 120, "output_data": 120, "output_src_rdi": 120, "output_dst_rdi": 120, "mvb_merge_stream": 121, "sw_timeout_w": 121, "rx_shakedown_en": 121, "rx_stream": 121, "gen_mvb_mux": 122, "mux_width": 122, "rx_sel_data": 122, "rx_sel_vld": 122, "rx_sel_src_rdi": 122, "rx_sel_dst_rdi": 122, "536": 123, "mfb_align": 123, "mvb_oper": 124, "fork": [124, 162], "rx_op_en": 124, "rx_op_vld": 124, "tx_op_vld": 124, "reorder": [124, 129], "asap": 124, "consume_item_width": 124, "tx_op": 124, "rsp_item_width": 124, "latency_fifo_en": 124, "latency_fifo_depth": 124, "latency_fifo_ram_typ": 124, "rx_op_pipe_en": 124, "rx_op_respons": 124, "rx_data_consum": 124, "tx_op_data": 124, "tx_op_data_consum": 124, "tx_op_src_rdi": 124, "tx_op_dst_rdi": 124, "exacli": 124, "rx_op_src_rdi": 124, "rx_op_dst_rdi": 124, "tx_respons": 124, "tx_response_vld": 124, "th": 124, "mvb_shakedown": 125, "rx_item": 125, "tx_item": 125, "independetli": 125, "shake_port": 125, "shake": 125, "carefulli": 125, "multi_fifox": 125, "ingor": 125, "implemet": 125, "use_mux_impl": 125, "emul": 125, "tx_next": 125, "creation": [126, 146, 147, 150, 158, 162], "particip": 126, "arrang": [126, 143], "stand": 126, "whose": [126, 176], "understood": 126, "waveform": 126, "mvb_fifox": 127, "mvb_lookup_t": 128, "lut_depth": 128, "lut_width": 128, "lut_arch": 128, "sw_width": 128, "rx_mvb_lut_addr": 128, "rx_mvb_metadata": 128, "tx_mvb_lut_data": 128, "tx_mvb_lut_addr": 128, "tx_mvb_metadata": 128, "sw_addr": 128, "sw_slice": 128, "sw_din": 128, "sw_be": 128, "sw_write": 128, "sw_read": 128, "sw_dout": 128, "sw_dout_vld": 128, "phy_40g": 129, "40g": 129, "gbase": 129, "ieee": 129, "802": 129, "claus": 129, "82": 129, "gty": 129, "rxp": 129, "txp": 129, "cr": 129, "fabric": 129, "mii": [129, 131, 133, 152], "async": [129, 179], "clk_stabl": 129, "xlgmii": [129, 131, 133], "stabl": 129, "xlgmii_clk": 129, "156": 129, "25mhz": 129, "xlgmii_txd": 129, "xlgmii_txc": 129, "xlgmii_rxd": 129, "xlgmii_rxc": 129, "refclk_in": 129, "322": 129, "refclk_p": 129, "265625": 129, "refclk_n": 129, "refclk_out": 129, "drpclk": 129, "rxn": 129, "txn": 129, "rxpolar": 129, "txpolar": 129, "_det": 129, "81": [129, 135], "tx_path_40g": 129, "rx_path_40g": 129, "pma_xlaui_gti": 129, "scrambl": [129, 150, 166], "marker": 129, "gbaser_encod": 129, "pcs_tx_fifo_deprec": 129, "compens": 129, "scrambler": [129, 150], "scrambler_gen": 129, "49": 129, "am": 129, "am_in": 129, "deskew": 129, "gbaser_decod": 129, "pcs_rx_fifo_deprec": 129, "descrambl": [129, 150], "descrambler_gen": 129, "polynomi": 129, "lane_align": 129, "ber": 129, "ber_mon": 129, "hi_ber": 129, "reliabl": 129, "deseri": 129, "recov": 129, "gty_40g": 129, "bitrat": 129, "3125": 129, "gearbox": 129, "moreov": 129, "block_lock": [129, 166], "boundari": [129, 145, 156, 157], "startup": 129, "collect": 129, "ref": [129, 164], "0x10004": 129, "0x10008": 129, "0x1000c": 129, "0x10010": 129, "0x10014": 129, "0x10018": 129, "10g": [129, 154, 155], "epon": 129, "p2mp": 129, "0x30000": 129, "0x30004": 129, "0x30008": 129, "0x3000c": 129, "0x30010": 129, "0x30040": 129, "0x30058": 129, "44": 129, "0x30064": 129, "51": 129, "0x30068": 129, "52": 129, "53": 129, "0x30190": 129, "bip": 129, "201": 129, "0x301b4": 129, "19": 129, "218": 129, "219": 129, "0x30320": 129, "401": 129, "0x30344": 129, "418": 129, "419": 129, "20000": 130, "withnout": 130, "90": [130, 162], "pactek": 130, "85": [130, 135, 139], "thank": [131, 133], "umii": [131, 133], "xgmii": [131, 133], "cdgmii": [131, 133], "wip": [131, 133], "fly": 131, "sampl": [131, 133, 144, 162, 165], "trfc": 131, "cfc": 131, "dfc": [131, 133], "bodfc": 131, "octet": [131, 133, 149], "oroc": 131, "strobe": [131, 133], "trfcl": 131, "cfcl": 131, "dfcl": [131, 133], "bodfcl": 131, "trfch": 131, "cfch": 131, "dfch": [131, 133], "bodfch": 131, "orocl": 131, "oroch": 131, "soon": [131, 133], "kind": [131, 132], "adapter_error": 131, "notifi": 131, "crc_error": 131, "mintu_check": 131, "mtu_check": 131, "mac_check": 131, "mfifo_ovf": 131, "mfifo": 131, "dfifo_ovf": 131, "dfifo": 131, "21": 131, "inbandfc": 131, "fc": 131, "fsc": 131, "isn": 131, "mac_count": 131, "cam": [131, 177], "rfc": 131, "ifg": 131, "preambl": [131, 149], "sfd": 131, "efd": 131, "1526": 131, "promiscu": 131, "brodcast": 131, "cgmii": 131, "47": 131, "upper": [131, 142], "enlarg": 131, "128b": [131, 134], "resize_buff": 131, "network_port_id": 131, "pkt_mtu_byt": [131, 133], "crc_is_receiv": 131, "crc_check_en": 131, "crc_remove_en": 131, "mac_check_en": 131, "timestamp_en": 131, "rx_mfb_error": 131, "adapter_link_up": 131, "link_up": 131, "incoming_fram": 131, "abid": 132, "sole": 132, "mfb_to_lbus_reconf": 132, "paramt": 132, "alhough": 132, "paremet": 132, "manner": 132, "sh_fsm": 132, "fulli": [132, 141], "preced": [132, 134], "unprocess": 132, "simplest": 132, "move": [132, 180], "world": [132, 162], "undergo": 132, "ordinari": 132, "spacer": 133, "ipg": 133, "mfb_pd_asfifo": 133, "asfifo": [133, 141, 172, 178], "tfcl": 133, "octect": 133, "socl": 133, "sfcl": 133, "tfch": 133, "soch": 133, "sfch": 133, "tfc": 133, "sfc": 133, "resize_on_tx": 133, "ipg_generate_en": 133, "rx_include_crc": 133, "checksum": [133, 178], "rx_include_ipg": 133, "crc_insert_en": 133, "use_dsp_cnt": 133, "eth_vers": 133, "over10gb": 133, "standart": 133, "rx_clk_x2": 133, "outgoing_fram": 133, "0x0d7b": 134, "rev": 134, "0x020": 134, "0x1": [134, 154, 155], "1b": [134, 143], "26b": 134, "dtb_pkg": 134, "indirect": [134, 179], "announc": 134, "bind": 134, "pcie_cq_axi2mfb": 135, "256b": 135, "straddl": 135, "mfb_region_width": [135, 138], "cq_user_width": 135, "183": [135, 139], "gen3x16": 135, "88": [135, 139], "gen3x8": 135, "axi_cquser_width": 135, "permit": 135, "pg213": 135, "cq_axi_data": 135, "cq_axi": 135, "cq_axi_us": 135, "sideband": 135, "trasfer": 135, "cq_axi_last": 135, "cq_axi_keep": 135, "cq_axi_valid": 135, "cq_axi_readi": 135, "cq_mfb_data": [135, 138], "cq_mfb": 135, "cq_mfb_sof": [135, 138], "cq_mfb_eof": [135, 138], "cq_mfb_sof_po": [135, 138], "cq_mfb_eof_po": [135, 138], "cq_mfb_src_rdy": [135, 138], "cq_mfb_dst_rdy": [135, 138], "cq_tph_present": 135, "hint": 135, "tph": 135, "cq_tph_type": 135, "ph": 135, "cq_tph_st_tag": 135, "steer": 135, "cq_fbe": 135, "cq_lbe": 135, "pcie_cc_mfb2axi": 135, "cc_user_width": 135, "axi_ccuser_width": 135, "cc_mfb_data": [135, 138], "cc_mfb": 135, "cc_mfb_sof": [135, 138], "cc_mfb_eof": [135, 138], "cc_mfb_sof_po": [135, 138], "cc_mfb_eof_po": [135, 138], "cc_mfb_src_rdy": [135, 138], "cc_mfb_dst_rdy": [135, 138], "cc_axi_data": 135, "cc_axi": 135, "cc_axi_us": 135, "cc_axi_last": 135, "cc_axi_keep": 135, "cc_axi_valid": 135, "cc_axi_readi": 135, "pcie_byte_count": 136, "dw_count": 136, "first_b": [136, 137], "last_b": [136, 137], "in_dw_count": 136, "in_first_b": 136, "in_last_b": 136, "out_first_ib": 136, "out_last_ib": 136, "out_byte_count": 136, "pcie_byte_en_decod": 137, "contiuou": 137, "0010": [137, 139], "0101": 137, "0100": [137, 139], "1100": 137, "0110": 137, "fbe_in": 137, "lbe_in": 137, "fbe_out": 137, "lbe_out": 137, "guest": 138, "transal": 138, "bar1": 138, "bar3": 138, "bar5": 138, "cc_pipe": 138, "cq_pipe": 138, "endpoint_typ": 138, "ctl_max_payload_s": 138, "000b": 138, "001b": 138, "010b": 138, "011b": 138, "ctl_bar_apertur": 138, "apertur": [138, 139], "usabl": [138, 166], "cq_mfb_meta": 138, "cc_mfb_meta": 138, "mi_funct": 138, "pcie_rq_hdr_gen": 139, "in_address": 139, "in_vfid": 139, "in_tag": 139, "tag_8": 139, "tag_9": 139, "in_dw_cnt": 139, "in_attribut": 139, "snoop": 139, "in_fb": 139, "in_lb": 139, "in_addr_len": 139, "in_req_typ": 139, "out_head": 139, "pcie_cc_hdr_gen": 139, "fo": 139, "in_lower_addr": 139, "in_byte_cnt": 139, "in_comp_st": 139, "in_req_id": 139, "in_tc": 139, "class": [139, 144, 145, 148, 149, 156, 157, 158, 160, 161, 162, 163, 164, 167, 176], "in_address_typ": 139, "in_meta_func_id": 139, "in_bus_num": 139, "comp_with_data": 139, "pcie_rc_hdr_depars": 139, "out_low_addr": 139, "out_complet": 139, "out_dw_cnt": 139, "out_tag": 139, "out_byte_cnt": 139, "out_attribut": 139, "out_comp_st": 139, "in_head": 139, "pcie_cq_hdr_depars": 139, "cquser_width": 139, "out_address": 139, "out_req_id": 139, "out_tc": 139, "out_fb": 139, "out_lb": 139, "out_address_typ": 139, "out_target_func": 139, "meta_func_id": 139, "out_bar_id": 139, "out_bar_apertur": 139, "out_addr_len": 139, "out_req_typ": 139, "msg": [139, 162], "msgd": 139, "in_axi_tus": 139, "tuser": [139, 144, 158], "in_intel_meta": 139, "bar_apertur": 139, "bar_id": 139, "target_func": 139, "accross": [140, 141], "storag": [140, 141], "n_loop_op": [140, 176], "credit": 140, "remap": 141, "codapa": 141, "checker": 141, "tsu_format_to_n": [142, 143], "ts_n": [142, 143], "eventhough": 142, "reg_bitmap": 142, "001": [142, 143], "010": 142, "ts_tsu": 142, "gp": 143, "mi_data_reg": 143, "ctrl_reg": 143, "3b": 143, "incr_val_reg": 143, "realtime_reg": 143, "pps_reg": 143, "2b": 143, "inta": 143, "ts_dv": 143, "1hz": 143, "2hz": 143, "3hz": 143, "multiplexor": 143, "39b": 143, "96b": 143, "ts_mult_smart_dsp": 143, "smarter": 143, "ts_mult_use_dsp": 143, "pps_sel_width": 143, "clk_sel_width": 143, "synchroni": 143, "pps_n": 143, "pps_src": 143, "pps_sel": 143, "clk_freq": 143, "clk_src": 143, "clk_sel": 143, "fraction": 143, "xanosecond": 143, "logic_vector_array_axi": [144, 152], "tuser_width": [144, 158], "tdata": [144, 158], "tkeep": 144, "tlast": 144, "tvalid": 144, "treadi": [144, 158], "drive": [144, 149, 154, 155, 162, 166], "tkeep_width": 144, "careful": [144, 163], "dont": [144, 163], "passiv": [145, 154, 155, 156, 157, 162, 166], "randomiz": [145, 156, 157], "sequence_item": [145, 148, 151, 152, 156, 157, 158, 160, 161, 162, 165], "do_copi": [145, 154, 155, 156, 157, 165, 166], "do_compar": [145, 154, 155, 156, 157, 165, 166], "convert2str": [145, 154, 155, 156, 157, 162, 165, 166, 168], "subclass": [145, 156, 157, 162], "byte_array_mfb": [145, 152, 162, 163], "randomli": [145, 148, 156, 157, 160, 161, 162, 164, 167], "sequence_simpl": [145, 151, 156, 157, 162, 167], "sequence_simple_const": [145, 156, 157], "sequence_simple_gauss": [145, 156, 157], "sequence_simple_inc": [145, 156, 157], "sequence_simple_dec": [145, 156, 157], "sequence_lib": [145, 156, 157, 162], "pick": [145, 156, 157], "config_sequ": [145, 148, 156, 157, 158, 160, 161, 162], "array_size_set": [145, 156, 157], "type_id": [145, 148, 151, 156, 157, 158, 160, 161, 162, 168], "cfg": [145, 148, 156, 157, 158, 160, 161, 162], "agent": [146, 147, 148, 149, 150, 152, 158, 159, 160, 161, 185], "acumul": [146, 147], "quest": [146, 147], "chunk": [146, 147, 150, 168], "bytes_vld": [146, 147, 154, 155], "null": [146, 147, 148, 151, 158, 160, 161, 162], "observ": [148, 158, 160, 162], "regions_s": [148, 160, 163], "mfb_data": [148, 160], "mfb_metadata": [148, 160], "pull": [148, 160, 162], "analysis_export": [148, 151, 158, 160, 161, 162], "uvm_act": [148, 158, 160, 161], "uvm_pass": [148, 158, 160, 161], "interface_nam": [148, 158, 160, 161, 162, 165], "databas": [148, 158, 160, 161, 162], "meta_behav": [148, 160, 161], "config_item": [148, 158, 160, 161], "meta_sof": [148, 160, 161], "meta_eof": [148, 160], "seq_cfg": [148, 158, 160, 161, 162], "byte_arrai": [148, 149, 162, 167], "reset_sync": [148, 158, 160, 161, 167], "uvm_test": [148, 158, 160, 161, 162], "uvm_componet_util": [148, 158, 160, 161], "m_reset": [148, 158, 160, 161], "env_rx": [148, 158, 160, 161], "m_env": [148, 158, 160, 161, 162], "uvm_compon": [148, 151, 158, 160, 161, 162], "super": [148, 151, 158, 160, 161, 162, 180], "endfunct": [148, 151, 158, 160, 161, 162], "void": [148, 151, 158, 160, 161, 162, 168], "build_phas": [148, 151, 158, 160, 161, 162], "uvm_phas": [148, 151, 158, 160, 161, 162], "m_cfg": [148, 158, 160, 161], "mfb_if": [148, 160, 161, 162], "space_size_set": [148, 158, 160, 161], "uvm_config_db": [148, 158, 160, 161, 162], "byte_array_mfb_env": 148, "m_eth": [148, 160, 161], "m_config": [148, 158, 160, 161, 162], "byte_arra_mfb": 148, "connect_phas": [148, 151, 158, 160, 161, 162], "sync_connect": [148, 158, 160, 161, 167], "endclass": [148, 151, 158, 160, 161, 162], "probability_set": [148, 158, 160], "percentig": 148, "infram": [148, 158, 160], "sequence_simple_rx_bas": [148, 158, 160, 161], "create_sequence_item": [148, 158, 160, 161, 164, 168], "child": [148, 158, 160, 161], "try_get": [148, 158, 160, 162], "state_packet_non": [148, 158, 160], "state_packet_new": [148, 158, 160], "state_packet_data": [148, 158, 160], "state_pakcet_spac": [148, 158, 160], "state_packet_space_new": [148, 158, 160], "env": [148, 152, 158, 160, 161, 162, 167, 168], "sequence_lib_rx": [148, 158, 160, 161], "sequence_simple_rx": [148, 158, 160], "sequence_full_speed_rx": [148, 158, 160, 161], "sequence_stop_rx": [148, 158, 160, 161], "repetit": [148, 158, 160, 161], "mfb_rx_speed": [148, 160], "init_sequence_librari": [148, 158, 160, 161, 162], "init_sequ": [148, 158, 160, 161, 162], "param_cfg": [148, 160, 161, 162], "add_sequ": [148, 158, 160, 161, 162], "get_typ": [148, 158, 160, 161, 162], "set_inst_overrid": [148, 158, 160, 161, 162], "get_full_nam": [148, 158, 160, 161, 162, 168], "introduc": [149, 162], "guarante": 149, "xmii": 149, "inherit": [149, 151, 168], "append": 149, "idle_count_min": 149, "idle_count_max": 149, "retriev": 149, "flush": [149, 162], "ther": 150, "termin": [150, 166], "tehr": 150, "polynom": 150, "39": 150, "58": 150, "rand_rdi": 151, "rand_length": 151, "rdy": [151, 154, 155, 162], "reprez": 151, "folow": 151, "interfam": 151, "uvm_sequ": [151, 162], "uvm_object_util": [151, 162], "uvm_common": [151, 162], "gab": 151, "rand_rdy_rand": 151, "rand_length_rand": 151, "bodi": [151, 162], "forev": [151, 162], "int": [151, 162, 167], "m_valu": 151, "send_empty_fram": 151, "hl_sequenc": [151, 162], "hl_transact": 151, "beign": [151, 167], "send_fram": 151, "endtask": [151, 162, 167, 168], "comparer_base_ord": 151, "model_item": [151, 162], "dut_item": [151, 162], "comparer_base_disord": 151, "comparer_base_tag": [151, 162], "comparer_ord": [151, 162], "class_typ": 151, "comparer_disord": 151, "comparer_tag": 151, "watchdog": [151, 180], "dut_tr_timeout_set": 151, "model_tr_timeout_set": 151, "analysis_imp_model": [151, 162], "analysis_imp_dut": [151, 162], "reimplement": [151, 162], "model_typ": 151, "tr_model": [151, 162], "dut_typ": 151, "tr_dut": [151, 162], "scoreboard_channel_head": 151, "packet_head": 151, "uvm_logic_vector": [151, 162], "uvm_component_param_util": [151, 162], "uvm_app_cor": 151, "eq": 151, "clog2": [151, 163], "packet_s": 151, "error_msg": 151, "0d": [151, 162], "swrite": [151, 162], "tdiscard": 151, "tchannel": 151, "tmeta": 151, "tpacket_s": 151, "scenario": [151, 162], "entity_i": 151, "entity_a": 151, "ea_do": 151, "eb1_di": 151, "entity_b1_i": 151, "entity_b": 151, "eb1_do": 151, "eb2_di": 151, "entity_b2_i": 151, "eb2_do": 151, "fifo_en1_input": 151, "uvm_component_util": [151, 162], "uvm_analysis_imp_export": 151, "analysis_expoert": 151, "push_back": [151, 162], "fifo_en2_input": 151, "model_entityb": 151, "uvm_scoreboard": [151, 162], "model_a": 151, "m_model_a": 151, "model_b": 151, "m_model_b1": 151, "m_model_b2": 151, "mb1_in": 151, "mb2_in": 151, "cast": [151, 162], "m_fifo_input": 151, "uvm_tlm_analysis_fifo": 151, "in_a": 151, "run_phas": [151, 162], "tr_in_a": 151, "tr_in_b": 151, "tr_out": [151, 162], "time_array_add": 151, "m1_": 151, "enviro": [152, 167], "sychron": 152, "logic_vector_mvb": 152, "inner": [152, 162], "logic_vector_array_mfb": [152, 157, 161], "seg": 152, "sequence_rx_bas": 152, "sequence_tx_bas": 152, "ce_gener": 152, "ipg_gener": 152, "channel_align": 152, "data_buff": 152, "sequence_rx": [152, 162], "sequence_tx": 152, "lii": 152, "media": [154, 155], "throught": [154, 155], "eeof": [154, 155], "ordder": [154, 155], "gitlab": [154, 155], "hft": [154, 155], "friedl": [154, 155], "feat": [154, 155], "ethphi": [154, 155], "eth_phi": [154, 155], "edb": [154, 155], "fast_sof": [154, 155], "earli": [154, 155], "ligc_vector_array_mfb": 156, "ligic": 156, "logic_vector": [156, 161], "convert2block": 157, "item_s": [158, 160], "logic_vector_arrai": [158, 160], "axi_if": 158, "logic_vector_array_axi_env": 158, "quicki": 158, "axi_rx_spe": 158, "logic_vector_array_mfb_env": 160, "items_width": 161, "logic_vector_mvb_env": 161, "sequence_rand_rx": 161, "sequence_burst_rx": 161, "mvb_rx_speed": 161, "doulo": 162, "guidelin": 162, "pack": 162, "unpack": [162, 178], "asic": 162, "einfochip": 162, "seriou": 162, "net": 162, "namespac": 162, "surround": 162, "mfb_properti": 162, "rx_mfb": 162, "prop_rdi": 162, "posedg": 162, "iff": 162, "b1": [162, 167, 168], "isunknown": 162, "endproperti": 162, "endmodul": 162, "try_next_item": 162, "get_next_item": 162, "4n": 162, "desynchron": 162, "race": 162, "10n": 162, "start_item": [162, 167, 168], "finish_item": [162, 167, 168], "uvm_driv": 162, "vif": 162, "seq_item_port": 162, "cb": 162, "item_don": 162, "stick": 162, "registr": 162, "macro": [162, 168], "_rx": 162, "_tx": 162, "sequence_": 162, "m_": 162, "uvm_ag": 162, "uvm_analysis_port": 162, "analysis_port": 162, "m_sequenc": 162, "m_driver": 162, "m_monitor": 162, "axi_lite_interfac": 162, "seq_item_export": 162, "pre_do": 162, "mid_do": 162, "post_do": 162, "difficult": 162, "simple_simpl": 162, "uvm_sequence_item": 162, "this_item": 162, "size_max": 162, "improv": [162, 164], "effort": 162, "sequence_packet_smal": 162, "c_transact": 162, "2000": 162, "c_char_width": 162, "sequence_packet_larg": 162, "10000": 162, "200000": 162, "sequence_librari": 162, "uvm_sequence_library_util": 162, "redefin": 162, "uvm_env": 162, "m_agent": 162, "uvm_components_": 162, "uvm_object_": 162, "uvm_components_util": 162, "wrong": 162, "strang": 162, "unless": 162, "uvm_packag": 162, "factori": 162, "byte_array_moinitor": 162, "byte_array_mfb_monitor": 162, "m_byte_array_ag": 162, "byte_array_ag": 162, "m_mfb_agent": 162, "mfb_agent": 162, "mon": 162, "analysis_imp": 162, "byte_array_mfb_sequ": 162, "hl_item": 162, "word_siz": 162, "ll_transact": 162, "tr": 162, "hl": 162, "fifo_data": 162, "subenviron": 162, "byte_array_cfg": 162, "m_byte_array_cfg": 162, "mfb_cfg": 162, "m_mfb_cfg": 162, "byte_array_mfb_cfg": 162, "vif_nam": 162, "m_byte_arrai": 162, "uvm_sequence_librari": 162, "sequence_packet_mid": 162, "sequence_packet_rand_spac": 162, "sequence_packet_const": 162, "sequence_packet_incr": 162, "rx_agent": 162, "uvm_sequenc": 162, "m_mfb_sequenc": 162, "m_mvb_sequenc": 162, "m_config_sequenc": 162, "uvm_object_param_util": 162, "uvm_declare_p_sequenc": 162, "mfb_sequenc": 162, "mvm": 162, "mvb_sequenc": 162, "uvm_do_on": 162, "p_sequenc": 162, "report_phas": 162, "jenkin": 162, "prowid": 162, "comparer_": 162, "uvm_analysis_export": 162, "analysis_export_rx": 162, "analysis_export_tx": 162, "protect": 162, "m_compar": 162, "m_model": 162, "analysis_imp_rx": 162, "analysis_imp_tx": 162, "isnt": 162, "fifo_model_input": 162, "model_in": 162, "anlysis_export": 162, "get_type_nam": 162, "uvm_non": 162, "bidirect": 162, "uvm_analysis_imp_reset": 162, "analysis_imp_reset": 162, "write_reset": 162, "m_regmodel": 162, "metric": 162, "tell": 162, "output_cov": 162, "uvm_subscrib": 162, "packet_port_env": 162, "covergroup": 162, "cov_packet": 162, "items_s": 162, "coverpoint": 162, "illegal_bin": 162, "items_port": 162, "endgroup": 162, "coverage_bas": 162, "m_cov": 162, "counton": 162, "mash": 162, "contrast": 162, "jump": 162, "sim_flag": 162, "code_coverag": 162, "cov_html": 162, "dut_u": 162, "annot": 162, "cvg": 162, "bcefst": 162, "verbos": 162, "threshl": 162, "threshh": 162, "ucdb": 162, "vcover": 162, "imag": 162, "li": 162, "roll": 162, "uvm_logic_vector_arrai": 162, "byte_array_port_env": 162, "piec": 162, "msg_byte_arrai": 162, "msg_port": 162, "tr_paket": 162, "tr_port": 162, "paket": 162, "sequence_byte_arrai": 162, "in_data": 162, "sequence_mvb": 162, "mvb_valid_item": 162, "mvb_agent": 162, "seq_byte_arrai": 162, "seq_mvb": 162, "msg_mvb": 162, "tlm": 162, "uvm_analysis_": 162, "uvm_tlm_analysis_": 162, "disadvantag": 162, "imposs": 162, "fifo_typ": 162, "type_item": 162, "quit": 162, "impement": 162, "packet_splitt": 162, "it_num": 162, "itoa": 162, "sc_output_": 162, "uvm_analysis_imp_decl": 162, "_meta": 162, "model_input_fifo": 162, "model_data": 162, "net_mod_logic_env": 162, "typedef": 162, "this_typ": 162, "uvm_analysis_imp_data": 162, "analysis_export_data": 162, "uvm_analysis_imp_meta": 162, "analysis_export_meta": 162, "struct": 162, "input_item": 162, "input_tim": 162, "data_item": 162, "meta_item": 162, "tmp_data": 162, "tmp_meta": 162, "write_data": 162, "write_meta": 162, "pop_front": 162, "user_to_cor": 162, "comparer_meta": 162, "tdut": 162, "tmodel": 162, "comparer_data": 162, "rx_mac_lite_region": 162, "tx_input_data": 162, "tx_input_meta": 162, "tx_out": 162, "comparesr": 162, "tx_compar": 162, "rx_input_data": 162, "rx_out_data": 162, "rx_out_hdr": 162, "rx_compare_data": 162, "rx_compare_meta": 162, "it_str": 162, "tx_out_": 162, "rx_input_data_": 162, "mvb_discard_": 162, "tx_input": 162, "tx_compare_": 162, "rx_input": 162, "rx_discard_": 162, "tx_output": 162, "rx_output": 162, "ret": [162, 167], "total_error": 162, "ttx": 162, "trx": 162, "assembl": 162, "rx_env": 162, "byte_aray_mfb": 162, "tx_env_bas": 162, "tx_env": 162, "tx_env_": 162, "analysis_export_rx_packet": 162, "analysis_export_tx_packet": 162, "sequence_rx_rdi": 162, "uvm_do_with": [162, 168], "env_main": 162, "seq_rx_packet": 162, "sequence_tx_rdi": 162, "seq_tx_rdi": 162, "raise_object": 162, "uvm_do": 162, "join_ani": 162, "drop_object": 162, "mfb_splitter_properti": 162, "reset_if": 162, "mvb_if": 162, "tx_mfb": 162, "mvb_properti": 162, "rx_mvb": 162, "run_test": 162, "finish_on_complet": 162, "uvm_root": 162, "m_root": 162, "v_tx_mfb": 162, "i_str": 162, "output_mfb_": 162, "v_mfb_tx": 162, "input_mfb": 162, "input_mvb": 162, "illegalnam": 162, "set_report_id_action_ti": 162, "uvm_no_act": 162, "unuseful": 162, "recording_detail": 162, "uvm_bitstream_t": 162, "prt": 162, "uvm_low": 162, "uvm_medium": [162, 168], "uvm_high": 162, "uvm_ful": 162, "hash": [162, 176], "uvm_debug": 162, "newlin": 162, "tabul": 162, "charact": 162, "ttext": 162, "indent": 162, "uvm_object": 162, "non_parametrized_class": 162, "parametrized_class": 162, "val": 162, "uvm_ev": 162, "offer": 162, "barrier": 162, "uvm_pool": 162, "uvm_barri": 162, "agent_rx": 162, "agent_tx": 162, "math_pkg": 162, "mathemat": 162, "math_pack": 162, "sh_reg": 162, "sh_reg_bas": 162, "vhld": 162, "arch": 162, "ent": 162, "dut_bas": 162, "ver": 162, "ver_bas": 162, "suppress": 162, "numeric_std": 162, "std_logic_arith": 162, "discourag": 162, "std": [162, 168], "numericstdnowarn": 162, "std_arith": 162, "arith": 162, "stdarithnowarn": 162, "uvm_max_quit_count": 162, "extra_vflag": 162, "uvm_testnam": 162, "uvmcontrol": 162, "firmware_bas": 162, "containst": 163, "reciv": 163, "mi_bu": 164, "sequence_item_request": 164, "sequence_item_respons": [164, 168], "master_driv": 164, "master_sequ": 164, "known": 164, "sequence_slav": 164, "sequence_slave_same_addr": 164, "sequence_slave_incr_addr": 164, "sequence_slave_slave_burst": 164, "no_oper": 164, "sequence_slave_sim": 164, "mi_writ": 164, "mi_read": 164, "get_rsp": [164, 168], "sequence_mast": 164, "set_rd": 164, "sequence_master_burst": 164, "sequence_master_max": 164, "sequence_slave_librari": 164, "containt": [165, 167], "my": 165, "nad": 165, "modport": 165, "overridden": 165, "max_transaction_count": 165, "min_transaction_count": 165, "transaction_count": 165, "next_act": 165, "sand": 165, "everyth": 165, "medium": 166, "attach": 166, "proprietari": 166, "tranasciev": 166, "took": 166, "data_vld": 166, "hdr_vld": 166, "cicl": 167, "sequence_rand": 167, "ored": 167, "ocurr": 167, "inconsistend": 167, "sync_cb": 167, "sync_regist": 167, "sync_termin": 167, "snyc_termin": 167, "cass": 167, "sync_": 167, "tak": 167, "is_reset": 167, "outpu": 167, "syncrhon": 167, "reset_ag": 167, "lewer": 167, "send_transact": 167, "hl_tr": 167, "sync_reset": 167, "reali": 167, "everyvher": 167, "env_config_item": 167, "driver_delai": 167, "slowest": 167, "reset_tim": 167, "seqeuenc": 167, "ran": 167, "configuret": 167, "pasiv": 167, "baselin": 168, "uvm_sim": 168, "sequence_tb": 168, "sequence_mi": 168, "sequence_mfb_data": 168, "sequence_mi_sim": 168, "uvm_mi": 168, "rsp": 168, "h0": 168, "h4": 168, "h8": 168, "uvm_info": 168, "hc": 168, "h1": 168, "construct": 168, "m_data": 168, "136": 168, "header_width": 168, "h04": 168, "h4c": 168, "h1f": 168, "hf0": 168, "h50": 168, "h7a": 168, "h02": 168, "hf404f404f404f404": 168, "sequence_meta": 168, "simluat": 168, "m_meta": 168, "lookup": [168, 181], "sequence_mvb_data": 168, "d4": 168, "d12": 168, "d16": 168, "hda7a5407": 168, "d512": 168, "hda7a5411": 168, "heb7ab8cc": 168, "d516": 168, "hda7a54cc": 168, "h6fbaaa52": 168, "h2474b6ac": 168, "hc4d1ce40": 168, "brief": 168, "lutmem": 172, "asfifox": [172, 178], "asfifo_bram": 172, "asfifo_bram_block": 172, "asfifo_bram_releas": 172, "dreleas": 172, "asfifo_bram_datamux": 172, "asfifo_bram_xilinx": 172, "primit": [172, 177], "fifo_bram": 172, "fifo_bram_xilinx": 172, "fifo_n1": 172, "multi_fifo": 172, "sh_fifo": 172, "ug573": [172, 177], "ug574": [172, 177], "clb": [172, 177], "ug": [172, 177], "s10memori": [172, 177], "embed": [172, 177], "20208": [172, 177], "fl_tool": 173, "flu_tool": 174, "research": 175, "z": 175, "after_on": 176, "00100000": 176, "11000000": 176, "alu": 176, "barrel_shift": 176, "barrel_shifter_dsp": 176, "before_on": 176, "00011111": 176, "bin2hot": 176, "hot": 176, "carry_chain": 176, "cmp": 176, "leonardo": 176, "cnt_dist": 176, "big": 176, "sequentiali": 176, "sdp_memx": [176, 177], "dec1fn": 176, "demux": [176, 181], "dsp_xor": 176, "xor": 176, "dsp48e2": 176, "detector": [176, 180], "enc": 176, "first_on": [176, 180], "gen_nor": 176, "h3": 176, "last_on": 176, "lfsr": 176, "fibonacci": 176, "xnor": 176, "modulo": 176, "modulo_lookup": 176, "mul48": 176, "mux_dsp": 176, "n_loop_op_pro": 176, "np_lutram_pro": [176, 177], "expert": [176, 177], "knowledg": [176, 177], "n_one": 176, "n_to_m_handshak": 176, "pipe_dsp": 176, "pipe_tree_add": 176, "adder": 176, "squarer": 176, "squar": 176, "latch": 176, "sum_on": 176, "xor48": 176, "bitwis": 176, "ternari": 177, "light": 177, "dp_bmem": 177, "dp_bram_xilinx": 177, "dp_bmem_v7": 177, "dp_uram_xilinx": 177, "gen_lutram": 177, "arria": 177, "gen_reg_arrai": 177, "sdp_bmem": 177, "sdp_bmem_v7": 177, "sdp_bram_xilinx": 177, "xpm_memory_sdpram": 177, "altera_syncram": 177, "multiport": 177, "sdp_bram_behav": 177, "sdp_uram_xilinx": 177, "sp_bmem": 177, "sp_bram": 177, "sp_bram_xilinx": 177, "sp_uram_xilinx": 177, "trasform": 178, "stream2": 178, "masker": 178, "trimmer": 178, "mi_tool": 179, "mi2axi4": 179, "adc_sensor": 180, "adc": 180, "candid": 180, "clk_gen": 180, "deficit_idle_count": 180, "event_count": 180, "fifo_pip": 180, "first_one_detector": 180, "hyper_pip": 180, "hyper": 180, "id32": 180, "interrupt_manag": 180, "agreg": 180, "packet_plann": 180, "reset_tree_gen": 180, "rr_arbit": 180, "arbitr": 180, "slr_cross": 180, "trans_sort": 180, "mvb_tool": 181, "merge_n_to_m": 181, "mvb2mfb": 181, "lite": 182, "40ge": 182, "methodologi": 185, "highli": 185, "tutori": 185}, "objects": {}, "objtypes": {}, "objnames": {}, "titleterms": {"minim": [0, 1], "ndk": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 15, 16, 17, 26], "applic": [0, 1, 12, 14, 15, 19, 21], "The": [0, 19, 20, 21, 22, 23, 24, 32], "memori": [0, 22, 59, 177], "tester": [0, 59, 60], "mi": [0, 23, 53, 57, 58, 59, 108, 111, 112, 113, 114, 115, 138, 164, 168, 179], "offset": 0, "document": 1, "amd": [2, 3, 4], "alveo": [2, 3], "u200": 2, "firmwar": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15], "support": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 24], "program": [2, 3, 4, 60], "devic": [2, 3, 4, 13, 134], "u55c": 3, "vcu118": 4, "vu9p": [4, 10], "bittwar": 5, "ia": 5, "420f": 5, "boot": [5, 6, 7], "instruct": [5, 6, 7], "initi": 5, "intel": [6, 7, 153], "stratix": 6, "10": 6, "dx": 6, "fpga": [6, 7, 13, 14, 15, 129], "dk": [6, 7], "agilex": 7, "i": [7, 12, 14], "seri": 7, "reflexc": 8, "xpresssx": 8, "agi": 8, "fh400g": 8, "board": 8, "revis": 8, "test": [8, 17, 68, 162], "script": [8, 162], "silicom": [9, 10, 11], "fb2cghh": 9, "ku15p": 9, "fb4cgg3": 10, "n6010": 11, "configur": [12, 24, 28, 32, 68, 75, 93, 102, 141, 145, 148, 156, 157, 158, 160, 161, 162], "file": [12, 32], "paramet": [12, 15, 102, 126], "parametr": [12, 162], "core": [12, 18, 21, 24, 27], "design": [12, 32], "descript": [12, 32, 52, 53, 54, 56, 59, 102, 113, 126, 154, 155, 166], "core_conf": 12, "tcl": [12, 32, 162], "core_const": 12, "mk": 12, "core_bootstrap": 12, "further": [12, 15], "work": [12, 15], "pass": 12, "through": 12, "modul": [12, 17, 19, 20, 21, 24, 28, 30, 32, 162, 177], "ad": 12, "constant": 12, "vhdl": 12, "packag": [12, 50, 151, 162], "specif": [12, 51, 74, 76, 85, 102, 109, 113, 126, 162], "card": [12, 13, 14, 15, 134], "type": 12, "card_conf": 12, "card_const": 12, "user": [12, 14, 175], "build": [12, 13, 14, 15, 27, 32], "card_nam": 12, "makefil": [12, 32], "vivado": 12, "quartu": 12, "app_conf": 12, "tl": 12, "dr": 12, "need": [12, 14, 15], "includ": 12, "compon": [12, 13, 32, 52, 53, 54, 56, 59, 73, 85, 141, 152, 171, 172], "depend": [12, 15], "given": 12, "valu": [12, 126], "what": [12, 14, 15], "can": [12, 14], "do": [12, 14], "contact": 12, "author": 12, "tree": [13, 134], "dt": 13, "integr": 13, "system": [13, 32], "locat": 13, "dtb": 13, "exampl": [13, 32, 76, 78, 85, 102, 115, 126, 132, 162, 168], "one": 13, "gener": [13, 19, 45, 52, 53, 54, 56, 59, 60, 63, 69, 70, 72, 73, 74, 76, 78, 102, 126, 127, 131, 133, 154, 155, 162, 166], "requir": 13, "develop": [13, 14], "frequent": 14, "ask": 14, "question": 14, "network": [14, 21, 28, 182], "kit": 14, "sw": [14, 19, 21, 52, 53, 55, 59], "chip": [14, 32], "doe": 14, "commun": 14, "interfac": [14, 19, 21, 36, 129, 153, 154, 155, 162, 165, 166], "have": 14, "avail": 14, "ethernet": [14, 16, 19, 129], "standard": 14, "implement": [14, 27, 32], "iso": 14, "osi": 14, "protocol": [14, 55], "jumbo": 14, "packet": [14, 19, 21, 47, 71, 91, 106], "also": 14, "an": [14, 15], "open": 14, "sourc": 14, "dma": [14, 16, 19, 20, 68, 74, 75], "control": [14, 20, 22, 24, 52, 53, 57, 58, 59, 69, 132, 138, 141, 169], "clock": [14, 172], "frequenc": 14, "ar": [14, 15], "stack": 14, "differ": 14, "between": 14, "netfpga": 14, "corundum": 14, "openn": 14, "how": [15, 19, 21, 168], "start": [15, 18, 69], "base": 15, "list": [15, 32], "make": 15, "prepar": 15, "host": 15, "pc": [15, 129], "load": 15, "check": 15, "terminologi": 16, "port": [16, 19, 42, 44, 45, 52, 53, 54, 56, 59, 102, 115, 126, 131, 133, 154, 155, 166], "lane": 16, "channel": [16, 69, 116], "stream": [16, 101, 121], "r": 17, "w": 17, "access": [17, 19, 21, 111], "scratch": 17, "regist": [17, 19, 131, 133, 184], "gl": [17, 77], "tutori": [17, 162], "cocotb": 18, "toplevel": 18, "simul": [18, 168], "quick": [18, 40], "us": [19, 21, 32, 115, 168], "receiv": [19, 21], "from": [19, 21], "transmit": [19, 21], "read": [19, 36], "write": [19, 36], "select": [20, 24], "medusa": 20, "ip": [20, 24, 27], "note": [20, 93, 162], "tab": [21, 27, 85, 111, 115], "1": [21, 27, 32, 85, 102, 111, 115, 126, 132], "logic": [21, 156, 157, 176], "2": [21, 32, 102, 115, 126, 132], "3": [21, 32, 115, 132], "reconfigur": [21, 94, 114, 132], "mac": [21, 131, 133, 153, 159], "lite": [21, 131, 133], "4": [21, 32, 132], "bu": [23, 57, 58, 59, 76, 113, 154, 155, 166], "interconnect": 23, "main": [23, 162], "alloc": 23, "address": [23, 53, 55, 62, 93, 111, 115, 129, 134], "space": [23, 53, 55, 93, 111, 129, 134], "pcie": [24, 63, 135, 136, 137, 139, 141, 183], "pcie_cor": 24, "hard": 24, "unit": [24, 25, 135], "pcie_ctrl": 24, "entiti": [24, 28], "time": [25, 76, 102, 113, 126], "stamp": 25, "timestamp": [25, 97], "signal": [25, 76, 99, 126], "format": [25, 142], "architectur": [26, 45, 46, 47, 49, 57, 59, 94, 106, 114, 115, 129, 131, 133, 141], "f": 27, "tile": 27, "multir": 27, "tip": 27, "tile_multir": 27, "variant": 27, "switch": [27, 77], "profil": 27, "typic": 28, "verif": [28, 29, 35, 68, 74, 85, 130, 162, 185], "plan": [28, 29, 68, 74, 85, 130], "doc": 28, "buffer": [29, 65, 67, 72, 104, 130], "asynchron": [30, 172], "refer": [30, 45, 47, 51, 59, 141, 172, 177], "basic": [31, 162, 176], "tool": [31, 170, 173, 174, 178, 179, 181, 182, 183], "content": [31, 169, 170, 174, 178, 179, 181, 182, 183, 185], "hierarchi": 32, "variabl": 32, "obtain": 32, "properti": [32, 162], "mod": 32, "sv_lib": 32, "synthesi": 32, "advanc": 32, "comp": 32, "target": 32, "synthesizeproject": 32, "init": 32, "phase": 32, "setupdesign": 32, "add": 32, "addinputfil": 32, "implemen": 32, "synthetizedesign": 32, "implementdesign": 32, "final": 32, "savedesign": 32, "other": [32, 141, 162], "featur": [32, 40, 46, 47, 52, 53, 54, 56, 59, 106], "evalfil": 32, "batch": 32, "incomplet": 32, "synth_flag": 32, "arrai": [32, 145, 146, 147, 149, 150, 156, 157, 159], "item": [32, 119, 145, 153, 154, 155, 156, 157, 165, 166], "dsp": [33, 171], "compar": [33, 151], "asfifox": [34, 103], "block": [34, 35, 36, 40, 45, 51, 76, 86, 91, 97, 101, 140, 141], "diagram": [34, 35, 36, 40, 45, 51, 76, 86, 91, 97, 101, 102, 113, 126, 140, 141], "fifox": [35, 36, 105, 127], "multi": [36, 38, 42], "behavior": 36, "barrel": 37, "shifter": 37, "memx": 38, "counter": [38, 46], "h3": 39, "class": [39, 151], "hash": 39, "n_loop_op": 40, "oper": [40, 93, 101, 102, 124, 126, 132], "flow": 40, "situat": 40, "solut": 40, "addit": [40, 47, 106], "reset": [40, 162, 167], "synchron": [41, 162], "sr": 41, "latch": 41, "bram": [42, 44], "np": 43, "lut": 43, "ram": 43, "simpl": [44, 52, 53, 82, 89, 96, 107, 167], "dual": [44, 172], "byte": [44, 136, 137, 145, 146, 147, 149, 150, 159], "enabl": [44, 84, 137], "crossbarx": [45, 81, 101, 104], "event": 46, "captur": 46, "planner": 47, "puls": 48, "short": 48, "transact": [49, 67, 72, 138, 141], "sorter": 49, "sdm": 51, "client": [51, 55], "more": 51, "mem": 52, "logger": [52, 53], "kei": [52, 53, 54, 56, 59], "instanc": [52, 53, 54, 56], "templat": [52, 53, 54, 56], "usag": [52, 53, 76, 93, 111, 149, 162], "data": [53, 94], "warp": 53, "full": 53, "histogram": 54, "jtag": 55, "over": 55, "size": 55, "debug": [55, 170], "hw": 55, "part": 55, "latenc": 56, "meter": 56, "amm_gen": 57, "intern": [57, 59], "amm_prob": 58, "ddr4": 59, "pytest": [59, 60], "pdf": 59, "report": [59, 60, 162], "sub": 59, "mem_test": 60, "softwar": [60, 66, 73], "c": 60, "py": 60, "report_gen": 60, "header": [61, 64, 139], "insertor": [61, 90], "manag": [62, 64, 66, 73, 129, 140], "addr": 63, "subcompon": [64, 68, 69, 70, 72, 74, 75, 86, 127], "input": [65, 162], "rx": [68, 129, 131, 148, 158, 160, 161], "calypt": [68, 74, 75], "uvm": [68, 74, 162, 168, 185], "coverag": [68, 74, 162], "mesur": [68, 74], "delai": [68, 74], "stop": 69, "metadata": [70, 90], "extractor": 70, "dispatch": 71, "tx": [74, 129, 132, 133], "provid": 75, "futur": 75, "expect": 75, "flu": [76, 174], "tabl": [76, 128], "guidelin": 76, "copi": 76, "past": 76, "code": [76, 162], "gen": [77, 89, 95, 96, 115, 143], "loop": 77, "mfb": [78, 79, 80, 82, 83, 84, 85, 87, 88, 89, 92, 94, 95, 96, 98, 99, 102, 103, 105, 106, 107, 132, 163, 168, 178], "distribut": 78, "frame": [79, 80, 85, 86], "extend": 79, "trimmer": 80, "stream2": 81, "cutter": 82, "dropper": 83, "masker": 85, "unpack": 86, "arcitectur": 86, "loopback": 87, "merger": [88, 89], "delay": 91, "pipe": [92, 112], "rate": 93, "limit": [93, 97], "constraint": 94, "side": 94, "effect": 94, "shift": [94, 184], "splitter": [95, 96, 115], "trasform": 98, "auxiliari": 99, "checksum": 100, "calcul": 100, "function": [102, 162], "sof_po": 102, "index": 102, "eof_po": 102, "scenario": [102, 126, 132], "output": 104, "discard": [106, 118], "asfifo": [106, 107], "forc": 106, "pd": 107, "async": 108, "mi2avmm": 109, "mi2axi4": 110, "indirect": 111, "A": 113, "few": 113, "plu": 115, "set": 115, "up": 115, "addr_bas": 115, "mask": 115, "irrelev": 115, "bit": 115, "map": [115, 131, 133], "differnt": 115, "ab": 115, "mvb": [116, 117, 118, 119, 121, 122, 124, 125, 126, 127, 128, 165, 168, 181], "router": 116, "demux": 117, "merg": [119, 121], "shakedown": [120, 125], "merge_n_to_m": 120, "mux": 122, "mvb2mfb": 123, "variou": 126, "vld": 126, "lookup": 128, "40ge": 129, "phy": 129, "ultrascal": 129, "pma": [129, 150, 166], "adapt": [131, 133], "lbu": 132, "state": 132, "machin": 132, "idl": 132, "pkt_process": 132, "pkt_end": 132, "word_realign": 132, "pkt_halt": 132, "realign": 132, "5": 132, "6": 132, "pci_ext_cap": 134, "extra": 134, "endpoint": 134, "id": 134, "convers": 135, "count": 136, "decod": 137, "mtc": 138, "pars": 139, "depars": 139, "ptc": [140, 141], "tag": 140, "tsu": [142, 143, 169], "n": 142, "convertor": 142, "axi": 144, "agent": [144, 145, 153, 154, 155, 156, 157, 162, 163, 164, 165, 166, 167], "sequence_item": [144, 163, 164], "sequenc": [145, 146, 147, 148, 149, 150, 153, 154, 155, 156, 157, 158, 160, 161, 162, 165, 166], "monitor": [145, 146, 147, 149, 150, 153, 154, 155, 156, 157, 162, 165, 166], "lii": [146, 147, 154, 155], "convert": [146, 147, 150], "enviro": [146, 147, 150], "byte_array_mfb": 148, "environ": [148, 149, 158, 160, 161, 162], "top": [148, 158, 161], "low": [148, 158, 160, 161, 162], "inner": [148, 158, 160, 161], "mii": 149, "transit": 149, "sv": 149, "env": 149, "sequence_rx_bas": 149, "sequence_tx_bas": 149, "ce_gener": 149, "wrapper": 149, "ipg_gener": 149, "channel_align": 149, "data_buff": 149, "sequence_rx": 149, "sequence_tx": 149, "common": [151, 162], "random": 151, "fifo": [151, 162, 172], "seg": [153, 159], "driver": [153, 154, 155, 162, 165, 166], "config": [153, 165], "vector": [156, 157], "logic_vector_array_axi": 158, "level": [158, 162], "logic_vector_array_mfb": 160, "op": 160, "logic_vector_mvb": 161, "systemverilog": 162, "methodologi": 162, "ofm": [162, 175], "repositori": 162, "object": 162, "librari": 162, "layer": 162, "high": 162, "run": 162, "virtual": 162, "scoreboard": 162, "request": 162, "respons": 162, "byte_array_port": 162, "model": 162, "creat": 162, "testbench": 162, "uvm_info": 162, "uvm_error": 162, "v": 162, "uvm_fat": 162, "fdo": 162, "sychron": 167, "meta": 168, "singl": 172, "fl": 173, "guid": 175, "element": 176, "miscellan": 180}, "envversion": {"sphinx.domains.c": 2, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 8, "sphinx.domains.index": 1, "sphinx.domains.javascript": 2, "sphinx.domains.math": 2, "sphinx.domains.python": 3, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx": 57}, "alltitles": {"Minimal NDK application": [[0, "minimal-ndk-application"]], "The Memory Testers": [[0, "the-memory-testers"]], "The application MI offsets": [[0, "the-application-mi-offsets"]], "Documentation of Minimal NDK Application": [[1, "documentation-of-minimal-ndk-application"]], "AMD Alveo U200": [[2, "amd-alveo-u200"]], "NDK firmware support": [[2, "ndk-firmware-support"], [3, "ndk-firmware-support"], [4, "ndk-firmware-support"], [5, "ndk-firmware-support"], [6, "ndk-firmware-support"], [7, "ndk-firmware-support"], [8, "ndk-firmware-support"], [9, "ndk-firmware-support"], [10, "ndk-firmware-support"], [11, "ndk-firmware-support"]], "Programming the device": [[2, "programming-the-device"], [3, "programming-the-device"], [4, "programming-the-device"]], "AMD Alveo U55C": [[3, "amd-alveo-u55c"]], "AMD VCU118@VU9P": [[4, "amd-vcu118-vu9p"]], "Bittware IA-420F": [[5, "bittware-ia-420f"]], "Boot instructions (initial)": [[5, "boot-instructions-initial"]], "Intel Stratix 10 DX FPGA DK": [[6, "intel-stratix-10-dx-fpga-dk"]], "Boot instructions": [[6, "boot-instructions"], [7, "boot-instructions"]], "Intel Agilex I-Series FPGA DK": [[7, "intel-agilex-i-series-fpga-dk"]], "ReflexCES XpressSX AGI-FH400G": [[8, "reflexces-xpresssx-agi-fh400g"]], "Board Revision": [[8, "board-revision"]], "Board Test Scripts": [[8, "board-test-scripts"]], "Silicom fb2CGhh@KU15P": [[9, "silicom-fb2cghh-ku15p"]], "Silicom fb4CGg3@VU9P": [[10, "silicom-fb4cgg3-vu9p"]], "Silicom N6010": [[11, "silicom-n6010"]], "Configuration files and parameters": [[12, "configuration-files-and-parameters"]], "Parametrizing NDK-CORE design": [[12, "parametrizing-ndk-core-design"]], "File description": [[12, "file-description"], [12, "id1"]], "core_conf.tcl": [[12, "core-conf-tcl"]], "core_const.tcl": [[12, "core-const-tcl"]], "core.mk": [[12, "core-mk"]], "core_bootstrap.tcl": [[12, "core-bootstrap-tcl"]], "Further work with parameters": [[12, "further-work-with-parameters"], [12, "id2"]], "Passing through Modules.tcl": [[12, "passing-through-modules-tcl"], [12, "id3"]], "Adding constants to the VHDL package": [[12, "adding-constants-to-the-vhdl-package"], [12, "id4"]], "Parametrizing a specific card type": [[12, "parametrizing-a-specific-card-type"]], "card_conf.tcl": [[12, "card-conf-tcl"]], "card_const.tcl": [[12, "card-const-tcl"]], "card.mk": [[12, "card-mk"]], "Parametrizing the user application": [[12, "parametrizing-the-user-application"]], "Configuration files": [[12, "configuration-files"]], "build//Makefile": [[12, "build-card-name-makefile"]], "build//{Vivado,Quartus}.tcl": [[12, "build-card-name-vivado-quartus-tcl"]], "build//app_conf.tcl": [[12, "build-card-name-app-conf-tcl"]], "TL;DR": [[12, "tl-dr"]], "I need to include specific component in CORE depending on a given parameter value": [[12, "i-need-to-include-specific-component-in-core-depending-on-a-given-parameter-value"]], "What can I do with the core_conf.tcl file": [[12, "what-can-i-do-with-the-core-conf-tcl-file"]], "What can I do with the core_const.tcl file": [[12, "what-can-i-do-with-the-core-const-tcl-file"]], "What can I do with the card_conf.tcl file": [[12, "what-can-i-do-with-the-card-conf-tcl-file"]], "What can I do with the card_const.tcl file": [[12, "what-can-i-do-with-the-card-const-tcl-file"]], "What can I do with the app_conf.tcl file": [[12, "what-can-i-do-with-the-app-conf-tcl-file"]], "Contact for author": [[12, "contact-for-author"]], "Device Tree": [[13, "device-tree"], [134, "device-tree"]], "DT integration in build system": [[13, "dt-integration-in-build-system"]], "Location of DTB in the firmware": [[13, "location-of-dtb-in-the-firmware"]], "Example of DTS of one component": [[13, "example-of-dts-of-one-component"]], "Example of generated DTS for FPGA card": [[13, "example-of-generated-dts-for-fpga-card"]], "Requirements for developers": [[13, "requirements-for-developers"]], "Frequently Asked Questions": [[14, "frequently-asked-questions"]], "What is a Network Development Kit (NDK)?": [[14, "what-is-a-network-development-kit-ndk"]], "What SW do I need to build the NDK firmware?": [[14, "what-sw-do-i-need-to-build-the-ndk-firmware"]], "What FPGA chips and cards does NDK support?": [[14, "what-fpga-chips-and-cards-does-ndk-support"]], "What communication interfaces can a NDK applications have available?": [[14, "what-communication-interfaces-can-a-ndk-applications-have-available"]], "What Ethernet standards does NDK support?": [[14, "what-ethernet-standards-does-ndk-support"]], "Does NDK implement ISO/OSI protocol support?": [[14, "does-ndk-implement-iso-osi-protocol-support"]], "Does NDK support Jumbo packets?": [[14, "does-ndk-support-jumbo-packets"]], "Is there also an open-source DMA controller available?": [[14, "is-there-also-an-open-source-dma-controller-available"]], "What clock frequencies are available for the user application?": [[14, "what-clock-frequencies-are-available-for-the-user-application"]], "Is there a SW stack also available for the NDK?": [[14, "is-there-a-sw-stack-also-available-for-the-ndk"]], "What is the difference between NDK and NetFPGA?": [[14, "what-is-the-difference-between-ndk-and-netfpga"]], "What is the difference between NDK and Corundum?": [[14, "what-is-the-difference-between-ndk-and-corundum"]], "What is the difference between NDK and OpenNIC?": [[14, "what-is-the-difference-between-ndk-and-opennic"]], "How to start": [[15, "how-to-start"]], "What dependencies are needed to build an FPGA firmware": [[15, "what-dependencies-are-needed-to-build-an-fpga-firmware"]], "How to build an FPGA firmware with an NDK-based application": [[15, "how-to-build-an-fpga-firmware-with-an-ndk-based-application"]], "List of make parameters:": [[15, "list-of-make-parameters"]], "How to prepare the FPGA card and the host PC": [[15, "how-to-prepare-the-fpga-card-and-the-host-pc"]], "How to load the firmware to an FPGA card": [[15, "how-to-load-the-firmware-to-an-fpga-card"]], "How to check the NDK firmware in the FPGA": [[15, "how-to-check-the-ndk-firmware-in-the-fpga"]], "Further work with the NDK": [[15, "further-work-with-the-ndk"]], "NDK Terminology": [[16, "ndk-terminology"]], "Ethernet Port": [[16, "ethernet-port"]], "Ethernet Lanes": [[16, "ethernet-lanes"]], "Ethernet Channel": [[16, "ethernet-channel"]], "Ethernet Stream": [[16, "ethernet-stream"]], "DMA Stream": [[16, "dma-stream"]], "DMA Channel": [[16, "dma-channel"]], "NDK testing": [[17, "ndk-testing"]], "Testing R/W access to the scratch registers": [[17, "testing-r-w-access-to-the-scratch-registers"]], "GLS module tutorial": [[17, "gls-module-tutorial"]], "Cocotb toplevel simulation core": [[18, "cocotb-toplevel-simulation-core"]], "Quick start": [[18, "quick-start"]], "The Application": [[19, "the-application"]], "How to use the Application interfaces": [[19, "how-to-use-the-application-interfaces"]], "Receiving packets from Ethernet": [[19, "receiving-packets-from-ethernet"]], "Transmitting packets to the Ethernet": [[19, "transmitting-packets-to-the-ethernet"]], "Receiving packets from the DMA module": [[19, "receiving-packets-from-the-dma-module"]], "Transmitting packets to the DMA module": [[19, "transmitting-packets-to-the-dma-module"]], "Read/write access to the Application registers from SW": [[19, "read-write-access-to-the-application-registers-from-sw"]], "Ports and generics of the Application": [[19, "ports-and-generics-of-the-application"]], "The DMA module": [[20, "the-dma-module"]], "Selecting a DMA controller": [[20, "selecting-a-dma-controller"]], "DMA Medusa IP notes": [[20, "dma-medusa-ip-notes"]], "The Network Module": [[21, "the-network-module"]], "Tab. 1": [[21, "id6"], [85, "id2"], [111, "id2"], [115, "id2"]], "How to use the Network Module interfaces": [[21, "how-to-use-the-network-module-interfaces"]], "Receiving packets from the Application": [[21, "receiving-packets-from-the-application"]], "Transmitting packets to the Application": [[21, "transmitting-packets-to-the-application"]], "SW access to the Network Module Cores and Network Module Logics": [[21, "sw-access-to-the-network-module-cores-and-network-module-logics"]], "Tab. 2": [[21, "id7"], [115, "id3"]], "Tab. 3": [[21, "id8"], [115, "id4"]], "Network Module Core": [[21, "network-module-core"]], "SW access to the reconfiguration interfaces": [[21, "sw-access-to-the-reconfiguration-interfaces"]], "Network Module Logic": [[21, "network-module-logic"]], "SW access to the MAC Lites": [[21, "sw-access-to-the-mac-lites"]], "Tab. 4": [[21, "id9"]], "The Memory Controller": [[22, "the-memory-controller"]], "The MI bus interconnect": [[23, "the-mi-bus-interconnect"]], "The main allocation of the MI address space": [[23, "the-main-allocation-of-the-mi-address-space"]], "The PCIe module": [[24, "the-pcie-module"]], "Selecting a PCIe configuration": [[24, "selecting-a-pcie-configuration"]], "The PCIe Core (PCIE_CORE)": [[24, "the-pcie-core-pcie-core"]], "Supported PCIe Hard IP": [[24, "supported-pcie-hard-ip"]], "The PCIe Control unit (PCIE_CTRL)": [[24, "the-pcie-control-unit-pcie-ctrl"]], "The PCIe module entity": [[24, "the-pcie-module-entity"]], "Time Stamp Unit": [[25, "time-stamp-unit"]], "Timestamp signals": [[25, "timestamp-signals"]], "Timestamp format": [[25, "timestamp-format"]], "NDK architecture": [[26, "ndk-architecture"]], "F-Tile Multirate IP": [[27, "f-tile-multirate-ip"]], "Implemented IP cores": [[27, "implemented-ip-cores"]], "Build tips": [[27, "build-tips"]], "Tab. 1 F-Tile_Multirate IPs variants": [[27, "id1"]], "Switching profiles": [[27, "switching-profiles"]], "NETWORK MODULE": [[28, "network-module"]], "Typical Configurations": [[28, "typical-configurations"]], "Verification Plan": [[28, "verification-plan"], [29, "verification-plan"], [68, "verification-plan"], [74, "verification-plan"], [130, "verification-plan"]], "Entity Docs": [[28, "entity-docs"]], "BUFFER": [[29, "buffer"], [130, "buffer"]], "Asynchronous modules": [[30, "asynchronous-modules"]], "References": [[30, "references"], [45, "references"], [47, "references"], [59, "references"], [141, "references"], [172, "references"], [177, "references"]], "Basic Tools": [[31, "basic-tools"]], "Content:": [[31, null], [169, null], [170, null], [174, null], [178, null], [179, null], [181, null], [182, null], [183, null]], "Build System": [[32, "build-system"]], "Hierarchy description in Modules.tcl": [[32, "hierarchy-description-in-modules-tcl"]], "Variables in Modules.tcl obtained by the build system": [[32, "variables-in-modules-tcl-obtained-by-the-build-system"]], "List of properties used in MOD variables": [[32, "list-of-properties-used-in-mod-variables"]], "Example of using properties": [[32, "example-of-using-properties"]], "List of properties used in SV_LIBS": [[32, "list-of-properties-used-in-sv-libs"]], "Example of using Modules.tcl variables": [[32, "example-of-using-modules-tcl-variables"]], "Component synthesis": [[32, "component-synthesis"]], "Advanced synthesis configuration": [[32, "advanced-synthesis-configuration"]], "Example of Makefile for component synthesis": [[32, "example-of-makefile-for-component-synthesis"]], "The comp target in Makefile": [[32, "the-comp-target-in-makefile"]], "Chip design synthesis and implementation": [[32, "chip-design-synthesis-and-implementation"]], "SynthesizeProject": [[32, "synthesizeproject"]], "1. Init phase (SetupDesign)": [[32, "init-phase-setupdesign"]], "2. File add phase (AddInputFiles)": [[32, "file-add-phase-addinputfiles"]], "3. Synthesis and Implemenation (SynthetizeDesign, ImplementDesign)": [[32, "synthesis-and-implemenation-synthetizedesign-implementdesign"]], "4. Final phase (SaveDesign)": [[32, "final-phase-savedesign"]], "Other features of the build system": [[32, "other-features-of-the-build-system"]], "EvalFile": [[32, "evalfile"]], "Batch feature in EvalFile": [[32, "batch-feature-in-evalfile"]], "Makefile": [[32, "makefile"]], "The (incomplete) list of SYNTH_FLAGS array items": [[32, "the-incomplete-list-of-synth-flags-array-items"]], "DSP Comparator": [[33, "dsp-comparator"]], "ASFIFOX": [[34, "asfifox"]], "Block diagram": [[34, "block-diagram"], [35, "block-diagram"], [36, "block-diagram"], [40, "block-diagram"], [45, "block-diagram"], [51, "block-diagram"], [86, "block-diagram"], [91, "block-diagram"], [97, "block-diagram"], [101, "block-diagram"], [140, "block-diagram"], [141, "block-diagram"]], "FIFOX": [[35, "fifox"]], "Verification": [[35, "verification"]], "Verification block diagram": [[35, "verification-block-diagram"]], "FIFOX Multi": [[36, "fifox-multi"]], "Write interface behavior": [[36, "write-interface-behavior"]], "Read interface behavior": [[36, "read-interface-behavior"]], "Barrel Shifter": [[37, "barrel-shifter"]], "Multi MEMx Counter": [[38, "multi-memx-counter"]], "H3 Class Hash": [[39, "h3-class-hash"]], "N_LOOP_OP": [[40, "n-loop-op"]], "Operator flow": [[40, "operator-flow"]], "Situation": [[40, "situation"]], "Solution": [[40, "solution"]], "Additional Features": [[40, "additional-features"], [106, "additional-features"]], "Quick reset": [[40, "quick-reset"]], "Synchronous SR latch": [[41, "synchronous-sr-latch"]], "Multi-port BRAM": [[42, "multi-port-bram"]], "NP LUT RAM": [[43, "np-lut-ram"]], "Simple dual-port BRAM": [[44, "simple-dual-port-bram"]], "Simple dual-port BRAM with Byte Enable": [[44, "simple-dual-port-bram-with-byte-enable"]], "CrossbarX": [[45, "crossbarx"]], "Generics": [[45, "generics"], [154, "generics"], [155, "generics"], [166, "generics"]], "Ports": [[45, "ports"], [154, "ports"], [155, "ports"], [166, "ports"]], "Architecture": [[45, "architecture"], [46, "architecture"], [47, "architecture"], [49, "architecture"], [94, "architecture"], [106, "architecture"], [114, "architecture"], [115, "architecture"], [129, "architecture"], [131, "architecture"], [133, "architecture"]], "Event Counter": [[46, "event-counter"]], "Capture feature": [[46, "capture-feature"]], "Packet Planner": [[47, "packet-planner"]], "Additional features": [[47, "additional-features"]], "Pulse short": [[48, "pulse-short"]], "Transaction Sorter": [[49, "transaction-sorter"]], "Packages": [[50, "packages"]], "SDM CLIENT": [[51, "sdm-client"]], "Specification": [[51, "specification"], [109, "specification"]], "More references": [[51, "more-references"]], "Mem logger": [[52, "mem-logger"]], "Key features": [[52, "key-features"], [53, "key-features"], [54, "key-features"], [56, "key-features"], [59, "key-features"]], "Component port and generics description": [[52, "component-port-and-generics-description"], [53, "component-port-and-generics-description"], [54, "component-port-and-generics-description"], [56, "component-port-and-generics-description"], [59, "component-port-and-generics-description"]], "Instance template (simple usage)": [[52, "instance-template-simple-usage"], [53, "instance-template-simple-usage"]], "Control SW": [[52, "control-sw"], [53, "control-sw"], [59, "control-sw"]], "Data logger": [[53, "data-logger"]], "Data logger warping component": [[53, "data-logger-warping-component"]], "Instance template (full usage)": [[53, "instance-template-full-usage"]], "MI address space": [[53, "mi-address-space"]], "Histogramer": [[54, "histogramer"]], "Instance template": [[54, "instance-template"], [56, "instance-template"]], "JTAG-over-protocol Client": [[55, "jtag-over-protocol-client"]], "Address space size": [[55, "address-space-size"]], "Debugging - HW part": [[55, "debugging-hw-part"]], "Debugging - SW part": [[55, "debugging-sw-part"]], "Debugging - DEBUG part": [[55, "debugging-debug-part"]], "Latency meter": [[56, "latency-meter"]], "AMM_GEN": [[57, "amm-gen"]], "Internal Architecture": [[57, "internal-architecture"], [59, "internal-architecture"]], "MI Bus Control": [[57, "mi-bus-control"], [58, "mi-bus-control"], [59, "mi-bus-control"]], "AMM_PROBE": [[58, "amm-probe"]], "DDR4 Memory Tester": [[59, "ddr4-memory-tester"]], "Pytest SW": [[59, "pytest-sw"]], "PDF report generator SW": [[59, "pdf-report-generator-sw"]], "Sub-components": [[59, "sub-components"]], "MEM_TESTER Software": [[60, "mem-tester-software"]], "C Program": [[60, "c-program"]], "Pytest Tester (mem_tester.py)": [[60, "pytest-tester-mem-tester-py"]], "Report Generator (report_gen.py)": [[60, "report-generator-report-gen-py"]], "Header Insertor": [[61, "header-insertor"]], "Address Manager": [[62, "address-manager"]], "PCIe Addres Generator": [[63, "pcie-addres-generator"]], "Header Manager": [[64, "header-manager"]], "Subcomponents:": [[64, null]], "Input Buffer": [[65, "input-buffer"]], "Software Manager": [[66, "software-manager"], [73, "software-manager"]], "Transaction Buffer": [[67, "transaction-buffer"]], "RX DMA Calypte": [[68, "rx-dma-calypte"]], "Subcomponents": [[68, "subcomponents"], [68, null], [75, "subcomponents"], [86, "subcomponents"]], "UVM Verification": [[68, "uvm-verification"], [74, "uvm-verification"], [185, "uvm-verification"]], "Coverage Mesure": [[68, "coverage-mesure"], [74, "coverage-mesure"]], "test configuration": [[68, "id2"]], "coverage": [[68, "id3"], [74, "id2"]], "delay": [[68, "id4"], [74, "id3"]], "Channel Start/stop control": [[69, "channel-start-stop-control"]], "General subcomponents": [[69, "general-subcomponents"], [70, "general-subcomponents"], [72, "general-subcomponents"], [74, "general-subcomponents"], [127, "general-subcomponents"]], "Metadata Extractor": [[70, "metadata-extractor"]], "Packet Dispatcher": [[71, "packet-dispatcher"]], "Transaction buffer": [[72, "transaction-buffer"]], "General components": [[73, "general-components"]], "TX DMA Calypte": [[74, "tx-dma-calypte"]], "Specific subcomponents": [[74, null]], "DMA Calypte": [[75, "dma-calypte"]], "Provided DMA configurations": [[75, "provided-dma-configurations"]], "Future expected": [[75, "future-expected"]], "FLU bus specification": [[76, "flu-bus-specification"]], "Table of generics": [[76, "table-of-generics"]], "Table of signals": [[76, "table-of-signals"]], "Usage guidelines": [[76, "usage-guidelines"]], "Timing diagram example": [[76, "timing-diagram-example"]], "Copy-paste code blocks": [[76, "copy-paste-code-blocks"]], "Gen Loop Switch (GLS)": [[77, "gen-loop-switch-gls"]], "MFB Generator": [[78, "mfb-generator"]], "Distribution examples": [[78, "distribution-examples"]], "MFB FRAME EXTENDER": [[79, "mfb-frame-extender"]], "MFB FRAME TRIMMER": [[80, "mfb-frame-trimmer"]], "CROSSBARX STREAM2": [[81, "crossbarx-stream2"]], "MFB Cutter Simple": [[82, "mfb-cutter-simple"]], "MFB Dropper": [[83, "mfb-dropper"]], "MFB Enabler": [[84, "mfb-enabler"]], "MFB Frame Masker": [[85, "mfb-frame-masker"]], "Component specification": [[85, "component-specification"]], "Examples": [[85, "examples"], [168, "examples"]], "Verification plan": [[85, "verification-plan"]], "Frame Unpacker": [[86, "frame-unpacker"]], "Arcitecture": [[86, "arcitecture"]], "MFB Loopback": [[87, "mfb-loopback"]], "MFB Merger": [[88, "mfb-merger"]], "MFB Merger Simple": [[89, "mfb-merger-simple"]], "MFB Merger Simple GEN": [[89, "id1"]], "Metadata Insertor": [[90, "metadata-insertor"]], "Packet Delayer": [[91, "packet-delayer"]], "MFB PIPE": [[92, "mfb-pipe"]], "Rate Limiter": [[93, "rate-limiter"]], "Operation": [[93, "operation"], [102, "operation"], [126, "operation"], [132, "operation"]], "Address space and configuration": [[93, "address-space-and-configuration"]], "Usage": [[93, "usage"], [111, "usage"], [149, "usage"]], "Notes": [[93, "notes"]], "MFB Reconfigurator": [[94, "mfb-reconfigurator"]], "Constraints and side-effects": [[94, "constraints-and-side-effects"]], "Data shifting": [[94, "data-shifting"]], "MFB Splitter": [[95, "mfb-splitter"]], "MFB Splitter Gen": [[95, "id1"]], "MFB Splitter Simple": [[96, "mfb-splitter-simple"]], "MFB Splitter Simple Gen": [[96, "id1"]], "Timestamp Limiter": [[97, "timestamp-limiter"]], "MFB Trasformer": [[98, "mfb-trasformer"]], "MFB Auxiliary Signals": [[99, "mfb-auxiliary-signals"]], "Checksum Calculator": [[100, "checksum-calculator"]], "CrossbarX Stream": [[101, "crossbarx-stream"]], "Operations": [[101, "operations"]], "MFB specification": [[102, "mfb-specification"]], "Generic parameters": [[102, "generic-parameters"], [126, "generic-parameters"]], "Port description": [[102, "port-description"], [126, "port-description"]], "Example of function of the SOF_POS index": [[102, "example-of-function-of-the-sof-pos-index"]], "Example of function of the EOF_POS index": [[102, "example-of-function-of-the-eof-pos-index"]], "Timing diagrams": [[102, "timing-diagrams"], [126, "timing-diagrams"]], "Scenario 1": [[102, "scenario-1"], [126, "scenario-1"], [132, "scenario-1"]], "Scenario 2": [[102, "scenario-2"], [126, "scenario-2"], [132, "scenario-2"]], "Example configurations": [[102, "example-configurations"]], "MFB ASFIFOX": [[103, "mfb-asfifox"]], "Crossbarx Output Buffer": [[104, "crossbarx-output-buffer"]], "MFB FIFOX": [[105, "mfb-fifox"]], "MFB Packet Discard ASFIFO": [[106, "mfb-packet-discard-asfifo"]], "Force Discard": [[106, "force-discard"]], "MFB PD ASFIFO SIMPLE": [[107, "mfb-pd-asfifo-simple"]], "MI ASYNC": [[108, "mi-async"]], "MI2AVMM": [[109, "mi2avmm"]], "MI2AXI4": [[110, "mi2axi4"]], "MI indirect access": [[111, "mi-indirect-access"]], "Address space": [[111, "address-space"], [129, "address-space"], [134, "id2"]], "MI Pipe": [[112, "mi-pipe"]], "MI bus specification": [[113, "mi-bus-specification"]], "MI bus description": [[113, "mi-bus-description"]], "A few timing diagrams": [[113, "a-few-timing-diagrams"]], "MI Reconfigurator": [[114, "mi-reconfigurator"]], "MI Splitter Plus Gen": [[115, "mi-splitter-plus-gen"]], "Examples of use": [[115, "examples-of-use"]], "Example 1 - setting up ADDR_BASE": [[115, "example-1-setting-up-addr-base"]], "Example 2 - masking irrelevant bits of the address": [[115, "example-2-masking-irrelevant-bits-of-the-address"]], "Example 3 - mapping ports to differnt ABs": [[115, "example-3-mapping-ports-to-differnt-abs"]], "MVB Channel Router": [[116, "mvb-channel-router"]], "MVB DEMUX": [[117, "mvb-demux"]], "MVB DISCARD": [[118, "mvb-discard"]], "MVB Merge Items": [[119, "mvb-merge-items"]], "SHAKEDOWN": [[120, "shakedown"]], "MERGE_N_TO_M": [[120, "merge-n-to-m"]], "MVB Merge Streams": [[121, "mvb-merge-streams"]], "MVB MUX": [[122, "mvb-mux"]], "MVB2MFB": [[123, "mvb2mfb"]], "MVB Operation": [[124, "mvb-operation"]], "MVB Shakedown": [[125, "mvb-shakedown"]], "MVB Specification": [[126, "mvb-specification"]], "Examples of various VLD signal values": [[126, "examples-of-various-vld-signal-values"]], "MVB FIFOX": [[127, "mvb-fifox"]], "MVB Lookup Table": [[128, "mvb-lookup-table"]], "40GE Ethernet PHY for Ultrascale+ FPGAs": [[129, "ge-ethernet-phy-for-ultrascale-fpgas"]], "Interface": [[129, "interface"], [153, "interface"], [162, "interface"], [165, "interface"]], "TX PCS": [[129, "tx-pcs"]], "RX PCS": [[129, "rx-pcs"]], "PMA": [[129, "pma"]], "Management": [[129, "management"]], "RX MAC LITE": [[131, "rx-mac-lite"]], "Adapter": [[131, "adapter"], [133, "adapter"]], "Register Map": [[131, "register-map"], [133, "register-map"]], "Ports and Generics": [[131, "ports-and-generics"], [133, "ports-and-generics"]], "MFB -> LBUS reconfigurator (TX LBUS)": [[132, "mfb-lbus-reconfigurator-tx-lbus"]], "Controlling state machine": [[132, "controlling-state-machine"]], "IDLE": [[132, "idle"]], "PKT_PROCESS": [[132, "pkt-process"]], "PKT_END": [[132, "pkt-end"]], "WORD_REALIGN": [[132, "word-realign"]], "PKT_HALT": [[132, "pkt-halt"]], "Examples of realignment": [[132, "examples-of-realignment"]], "Scenario 3": [[132, "scenario-3"]], "Scenario 4": [[132, "scenario-4"]], "Scenario 5": [[132, "scenario-5"]], "Scenario 6": [[132, "scenario-6"]], "TX MAC LITE": [[133, "tx-mac-lite"]], "PCI_EXT_CAP": [[134, "pci-ext-cap"]], "Extra space": [[134, "id3"], [134, "extra-space"]], "Endpoint ID": [[134, "endpoint-id"]], "Card ID": [[134, "card-id"]], "PCIE CONVERSION UNITS": [[135, "pcie-conversion-units"]], "PCIE Byte Count": [[136, "pcie-byte-count"]], "PCIe Byte Enable Decoder": [[137, "pcie-byte-enable-decoder"]], "MTC (MI Transaction Controller)": [[138, "mtc-mi-transaction-controller"]], "PCIE Header parsing/deparsing": [[139, "pcie-header-parsing-deparsing"]], "PTC Tag Manager": [[140, "ptc-tag-manager"]], "PTC (PCIe Transaction Controller)": [[141, "ptc-pcie-transaction-controller"]], "Other components": [[141, "other-components"]], "Architecture configurations": [[141, "architecture-configurations"]], "TSU Format to ns Convertor": [[142, "tsu-format-to-ns-convertor"]], "TSU GEN": [[143, "tsu-gen"]], "AXI Agent": [[144, "axi-agent"]], "sequence_item": [[144, "sequence-item"], [163, "sequence-item"]], "Byte Array agent": [[145, "byte-array-agent"]], "Byte Array sequence item": [[145, "byte-array-sequence-item"]], "Byte Array monitor": [[145, "byte-array-monitor"]], "Byte Array Sequence": [[145, "byte-array-sequence"]], "Sequence configuration": [[145, "sequence-configuration"], [156, "sequence-configuration"], [157, "sequence-configuration"]], "Byte Array to LII convert enviroment": [[146, "byte-array-to-lii-convert-enviroment"], [147, "byte-array-to-lii-convert-enviroment"]], "Byte Array to LII monitor": [[146, "byte-array-to-lii-monitor"], [147, "byte-array-to-lii-monitor"]], "Byte Array to LII Sequence": [[146, "byte-array-to-lii-sequence"], [147, "byte-array-to-lii-sequence"]], "Byte_array_mfb environment": [[148, "byte-array-mfb-environment"]], "Top sequencers and sequences": [[148, "top-sequencers-and-sequences"], [158, "top-sequencers-and-sequences"], [161, "top-sequencers-and-sequences"]], "Configuration": [[148, "configuration"], [158, "configuration"], [160, "configuration"], [161, "configuration"]], "Low sequence configuration": [[148, "low-sequence-configuration"], [160, "low-sequence-configuration"], [161, "low-sequence-configuration"]], "RX Inner sequences": [[148, "rx-inner-sequences"], [158, "rx-inner-sequences"], [160, "rx-inner-sequences"], [161, "rx-inner-sequences"]], "Byte array to MII transitional environment": [[149, "byte-array-to-mii-transitional-environment"]], "monitor.sv": [[149, "monitor-sv"]], "sequencer.sv": [[149, "sequencer-sv"]], "env.sv": [[149, "env-sv"]], "sequence_rx_base.sv": [[149, "sequence-rx-base-sv"]], "sequence_tx_base.sv": [[149, "sequence-tx-base-sv"]], "ce_generator.sv": [[149, "ce-generator-sv"]], "wrapper.sv": [[149, "wrapper-sv"]], "ipg_generator.sv": [[149, "ipg-generator-sv"]], "channel_align.sv": [[149, "channel-align-sv"]], "data_buffer.sv": [[149, "data-buffer-sv"]], "sequence_rx.sv and sequence_tx.sv": [[149, "sequence-rx-sv-and-sequence-tx-sv"]], "Byte array to pma convert enviroment": [[150, "byte-array-to-pma-convert-enviroment"]], "Byte Array to PMA monitor": [[150, "byte-array-to-pma-monitor"]], "Byte Array to PMA Sequence": [[150, "byte-array-to-pma-sequence"]], "Common package": [[151, "common-package"]], "Random": [[151, "random"]], "Comparer": [[151, "comparer"]], "comparer classes": [[151, "id1"], [151, "id2"], [151, "id3"]], "fifo": [[151, "fifo"]], "Components": [[152, "components"]], "Components:": [[152, null]], "Intel MAC SEG": [[153, "intel-mac-seg"]], "Sequence item": [[153, "sequence-item"], [165, "sequence-item"]], "Sequence": [[153, "sequence"], [162, "sequence"], [165, "sequence"]], "Driver": [[153, "driver"], [162, "driver"], [165, "driver"]], "Monitor": [[153, "monitor"], [165, "monitor"]], "Config": [[153, "config"], [165, "config"]], "Agent": [[153, "agent"], [162, "agent"], [165, "agent"]], "LII agent": [[154, "lii-agent"], [155, "lii-agent"]], "LII interface": [[154, "lii-interface"], [155, "lii-interface"]], "LII bus description": [[154, "lii-bus-description"], [155, "lii-bus-description"]], "LII sequence item": [[154, "lii-sequence-item"], [155, "lii-sequence-item"]], "LII monitor": [[154, "lii-monitor"], [155, "lii-monitor"]], "LII driver": [[154, "lii-driver"], [155, "lii-driver"]], "Logic vector agent": [[156, "logic-vector-agent"]], "Logic Vector Array sequence item": [[156, "logic-vector-array-sequence-item"], [157, "logic-vector-array-sequence-item"]], "Logic Vector Array monitor": [[156, "logic-vector-array-monitor"], [157, "logic-vector-array-monitor"]], "Logic Vector Array Sequence": [[156, "logic-vector-array-sequence"], [157, "logic-vector-array-sequence"]], "Logic Vector Array agent": [[157, "logic-vector-array-agent"]], "logic_vector_array_axi environment": [[158, "logic-vector-array-axi-environment"]], "Low-level sequence configuration": [[158, "low-level-sequence-configuration"]], "byte array to MAC SEG": [[159, "byte-array-to-mac-seg"]], "logic_vector_array_mfb environment": [[160, "logic-vector-array-mfb-environment"]], "op sequencers and sequences": [[160, "op-sequencers-and-sequences"]], "logic_vector_mvb environment": [[161, "logic-vector-mvb-environment"]], "SystemVerilog and UVM tutorial": [[162, "systemverilog-and-uvm-tutorial"]], "Other tutorials": [[162, "other-tutorials"]], "Basic usage of the UVM methodology in the OFM repository": [[162, "basic-usage-of-the-uvm-methodology-in-the-ofm-repository"]], "Properties": [[162, "properties"], [162, "id5"]], "Configuration object": [[162, "configuration-object"], [162, "id1"]], "Sequence library": [[162, "sequence-library"], [162, "id2"]], "Package": [[162, "package"]], "Layered agents": [[162, "layered-agents"]], "Environment": [[162, "environment"]], "Low-level sequence": [[162, "low-level-sequence"]], "High-level monitor": [[162, "high-level-monitor"]], "Run of a specific sequence": [[162, "run-of-a-specific-sequence"]], "Common environment": [[162, "common-environment"]], "Virtual sequencer": [[162, "virtual-sequencer"]], "Virtual sequence and synchronization": [[162, "virtual-sequence-and-synchronization"]], "Scoreboard": [[162, "scoreboard"], [162, "id3"], [162, "id4"]], "Request-response Agents": [[162, "request-response-agents"]], "Reset": [[162, "reset"]], "Coverage": [[162, "coverage"]], "Functional coverage": [[162, "functional-coverage"]], "Code coverage": [[162, "code-coverage"]], "Generating coverage reports": [[162, "generating-coverage-reports"]], "Verification example": [[162, "verification-example"]], "Byte_array_port environment": [[162, "byte-array-port-environment"]], "Model": [[162, "model"]], "Create model input fifo": [[162, "create-model-input-fifo"]], "Test environment": [[162, "test-environment"]], "Test": [[162, "test"]], "Testbench": [[162, "testbench"]], "NOTES": [[162, "notes"]], "UVM_info": [[162, "uvm-info"]], "uvm_info": [[162, "id6"]], "UVM_error vs UVM_fatal": [[162, "uvm-error-vs-uvm-fatal"]], "Parametrized object": [[162, "parametrized-object"]], "Synchronization": [[162, "synchronization"]], "OFM verification environment": [[162, "ofm-verification-environment"]], "Modules.tcl": [[162, "modules-tcl"]], "Main .fdo script for running the verification": [[162, "main-fdo-script-for-running-the-verification"]], "MFB Agent": [[163, "mfb-agent"]], "MI agent": [[164, "mi-agent"]], "Sequence_item": [[164, "sequence-item"]], "MVB agent": [[165, "mvb-agent"]], "PMA agent": [[166, "pma-agent"]], "PMA interface": [[166, "pma-interface"]], "PMA bus description": [[166, "pma-bus-description"]], "PMA sequence item": [[166, "pma-sequence-item"]], "PMA monitor": [[166, "pma-monitor"]], "PMA driver": [[166, "pma-driver"]], "RESET agent": [[167, "reset-agent"]], "simple sychronous RESET agents": [[167, "simple-sychronous-reset-agents"]], "UVM simulation": [[168, "uvm-simulation"]], "MFB + MI": [[168, "mfb-mi"]], "MFB + META/MVB": [[168, "mfb-meta-mvb"]], "MVB + MI": [[168, "mvb-mi"]], "How to use the UVM simulation": [[168, "how-to-use-the-uvm-simulation"]], "Controllers & TSU": [[169, "controllers-tsu"]], "Debug Tools": [[170, "debug-tools"]], "DSP components": [[171, "dsp-components"]], "FIFO components": [[172, "fifo-components"]], "Dual clock (asynchronous) FIFOs": [[172, "dual-clock-asynchronous-fifos"]], "Single clock FIFOs": [[172, "single-clock-fifos"]], "FL Tools": [[173, "fl-tools"]], "FLU Tools": [[174, "flu-tools"]], "OFM User Guide": [[175, "ofm-user-guide"]], "Basic logic elements": [[176, "basic-logic-elements"]], "Memory modules": [[177, "memory-modules"]], "MFB Tools": [[178, "mfb-tools"]], "MI Tools": [[179, "mi-tools"]], "Miscellaneous": [[180, "miscellaneous"]], "MVB Tools": [[181, "mvb-tools"]], "Network Tools": [[182, "network-tools"]], "PCIe Tools": [[183, "pcie-tools"]], "Shift registers": [[184, "shift-registers"]], "Contents:": [[185, null]]}, "indexentries": {}}) \ No newline at end of file +Search.setIndex({"docnames": ["app-minimal", "index", "ndk_cards/amd/alveo-u200/readme", "ndk_cards/amd/alveo-u55c/readme", "ndk_cards/amd/vcu118/readme", "ndk_cards/bittware/ia-420f/readme", "ndk_cards/intel/dk-dev-1sdx-p/readme", "ndk_cards/intel/dk-dev-agi027res/readme", "ndk_cards/reflexces/agi-fh400g/readme", "ndk_cards/silicom/fb2cghh/readme", "ndk_cards/silicom/fb4cgg3/readme", "ndk_cards/silicom/n6010/readme", "ndk_core/doc/configuration", "ndk_core/doc/devtree", "ndk_core/doc/faq", "ndk_core/doc/how_to_start", "ndk_core/doc/terminology", "ndk_core/doc/testing", "ndk_core/intel/cocotb/README", "ndk_core/intel/doc/app", "ndk_core/intel/doc/dma", "ndk_core/intel/doc/eth", "ndk_core/intel/doc/mem", "ndk_core/intel/doc/mi", "ndk_core/intel/doc/pcie", "ndk_core/intel/doc/tsu", "ndk_core/intel/readme", "ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip", "ndk_core/intel/src/comp/network_mod/readme", "ndk_core/intel/src/comp/network_mod/uvm/readme", "ofm_doc/async", "ofm_doc/base", "ofm_doc/build/readme", "ofm_doc/comp/base/dsp/dsp_comparator/readme", "ofm_doc/comp/base/fifo/asfifox/readme", "ofm_doc/comp/base/fifo/fifox/readme", "ofm_doc/comp/base/fifo/fifox_multi/readme", "ofm_doc/comp/base/logic/barrel_shifter/readme", "ofm_doc/comp/base/logic/cnt_multi_memx/readme", "ofm_doc/comp/base/logic/h3hash/readme", "ofm_doc/comp/base/logic/n_loop_op/readme", "ofm_doc/comp/base/logic/sr_sync_latch/readme", "ofm_doc/comp/base/mem/mp_bram/readme", "ofm_doc/comp/base/mem/np_lutram/readme", "ofm_doc/comp/base/mem/sdp_bram/readme", "ofm_doc/comp/base/misc/crossbarx/readme", "ofm_doc/comp/base/misc/event_counter/readme", "ofm_doc/comp/base/misc/packet_planner/readme", "ofm_doc/comp/base/misc/pulse_short/readme", "ofm_doc/comp/base/misc/trans_sorter/readme", "ofm_doc/comp/base/pkg/readme", "ofm_doc/comp/ctrls/sdm_client/readme", "ofm_doc/comp/debug/data_logger/mem_logger/readme", "ofm_doc/comp/debug/data_logger/readme", "ofm_doc/comp/debug/histogramer/readme", "ofm_doc/comp/debug/jtag_op_client/readme", "ofm_doc/comp/debug/latency_meter/readme", "ofm_doc/comp/debug/mem_tester/amm_gen/readme", "ofm_doc/comp/debug/mem_tester/amm_probe/readme", "ofm_doc/comp/debug/mem_tester/readme", "ofm_doc/comp/debug/mem_tester/sw/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/readme", "ofm_doc/comp/dma/dma_calypte/readme", "ofm_doc/comp/flu_tools/readme", "ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme", "ofm_doc/comp/mfb_tools/debug/generator/readme", "ofm_doc/comp/mfb_tools/edit/frame_extender/readme", "ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme", "ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme", "ofm_doc/comp/mfb_tools/flow/cutter_simple/readme", "ofm_doc/comp/mfb_tools/flow/dropper/readme", "ofm_doc/comp/mfb_tools/flow/enabler/readme", "ofm_doc/comp/mfb_tools/flow/frame_masker/readme", "ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme", "ofm_doc/comp/mfb_tools/flow/loopback/readme", "ofm_doc/comp/mfb_tools/flow/merger/readme", "ofm_doc/comp/mfb_tools/flow/merger_simple/readme", "ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme", "ofm_doc/comp/mfb_tools/flow/packet_delayer/readme", "ofm_doc/comp/mfb_tools/flow/pipe/readme", "ofm_doc/comp/mfb_tools/flow/rate_limiter/readme", "ofm_doc/comp/mfb_tools/flow/reconfigurator/readme", "ofm_doc/comp/mfb_tools/flow/splitter/readme", "ofm_doc/comp/mfb_tools/flow/splitter_simple/readme", "ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme", "ofm_doc/comp/mfb_tools/flow/transformer/readme", "ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme", "ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme", "ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme", "ofm_doc/comp/mfb_tools/readme", "ofm_doc/comp/mfb_tools/storage/asfifox/readme", "ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme", "ofm_doc/comp/mfb_tools/storage/fifox/readme", "ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme", "ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme", "ofm_doc/comp/mi_tools/async/readme", "ofm_doc/comp/mi_tools/converters/mi2avmm/readme", "ofm_doc/comp/mi_tools/converters/mi2axi4/readme", "ofm_doc/comp/mi_tools/indirect_access/readme", "ofm_doc/comp/mi_tools/pipe/readme", "ofm_doc/comp/mi_tools/readme", "ofm_doc/comp/mi_tools/reconf/readme", "ofm_doc/comp/mi_tools/splitter_plus_gen/readme", "ofm_doc/comp/mvb_tools/flow/channel_router/readme", "ofm_doc/comp/mvb_tools/flow/demux/readme", "ofm_doc/comp/mvb_tools/flow/discard/readme", "ofm_doc/comp/mvb_tools/flow/merge_items/readme", "ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme", "ofm_doc/comp/mvb_tools/flow/merge_streams/readme", "ofm_doc/comp/mvb_tools/flow/mux/readme", "ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme", "ofm_doc/comp/mvb_tools/flow/operation/readme", "ofm_doc/comp/mvb_tools/flow/shakedown/readme", "ofm_doc/comp/mvb_tools/readme", "ofm_doc/comp/mvb_tools/storage/fifox/readme", "ofm_doc/comp/mvb_tools/storage/lookup_table/readme", "ofm_doc/comp/nic/eth_phy/40ge/readme", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme", "ofm_doc/comp/pcie/common/readme", "ofm_doc/comp/pcie/convertors/readme", "ofm_doc/comp/pcie/logic/byte_count/readme", "ofm_doc/comp/pcie/logic/byte_en_decoder/readme", "ofm_doc/comp/pcie/mtc/readme", "ofm_doc/comp/pcie/others/hdr_gen/readme", "ofm_doc/comp/pcie/ptc/comp/tag_manager/readme", "ofm_doc/comp/pcie/ptc/readme", "ofm_doc/comp/tsu/tsu_format_to_ns/readme", "ofm_doc/comp/tsu/tsu_gen/readme", "ofm_doc/comp/uvm/axi/readme", "ofm_doc/comp/uvm/byte_array/readme", "ofm_doc/comp/uvm/byte_array_lii/readme", "ofm_doc/comp/uvm/byte_array_lii_rx/readme", "ofm_doc/comp/uvm/byte_array_mfb/readme", "ofm_doc/comp/uvm/byte_array_mii/readme", "ofm_doc/comp/uvm/byte_array_pma/readme", "ofm_doc/comp/uvm/common/readme", "ofm_doc/comp/uvm/componets", "ofm_doc/comp/uvm/intel_mac_seg/readme", "ofm_doc/comp/uvm/lii/readme", "ofm_doc/comp/uvm/lii_rx/readme", "ofm_doc/comp/uvm/logic_vector/readme", "ofm_doc/comp/uvm/logic_vector_array/readme", "ofm_doc/comp/uvm/logic_vector_array_axi/readme", "ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme", "ofm_doc/comp/uvm/logic_vector_array_mfb/readme", "ofm_doc/comp/uvm/logic_vector_mvb/readme", "ofm_doc/comp/uvm/manual", "ofm_doc/comp/uvm/mfb/readme", "ofm_doc/comp/uvm/mi/readme", "ofm_doc/comp/uvm/mvb/readme", "ofm_doc/comp/uvm/pma/readme", "ofm_doc/comp/uvm/reset/readme", "ofm_doc/comp/uvm/sim_manual", "ofm_doc/ctrls", "ofm_doc/debug", "ofm_doc/dsp", "ofm_doc/fifo", "ofm_doc/fl", "ofm_doc/flu", "ofm_doc/index", "ofm_doc/logic", "ofm_doc/memory", "ofm_doc/mfb", "ofm_doc/mi", "ofm_doc/misc", "ofm_doc/mvb", "ofm_doc/nic", "ofm_doc/pcie", "ofm_doc/shift", "ofm_doc/ver"], "filenames": ["app-minimal.rst", "index.rst", "ndk_cards/amd/alveo-u200/readme.rst", "ndk_cards/amd/alveo-u55c/readme.rst", "ndk_cards/amd/vcu118/readme.rst", "ndk_cards/bittware/ia-420f/readme.rst", "ndk_cards/intel/dk-dev-1sdx-p/readme.rst", "ndk_cards/intel/dk-dev-agi027res/readme.rst", "ndk_cards/reflexces/agi-fh400g/readme.rst", "ndk_cards/silicom/fb2cghh/readme.rst", "ndk_cards/silicom/fb4cgg3/readme.rst", "ndk_cards/silicom/n6010/readme.rst", "ndk_core/doc/configuration.rst", "ndk_core/doc/devtree.rst", "ndk_core/doc/faq.rst", "ndk_core/doc/how_to_start.rst", "ndk_core/doc/terminology.rst", "ndk_core/doc/testing.rst", "ndk_core/intel/cocotb/README.rst", "ndk_core/intel/doc/app.rst", "ndk_core/intel/doc/dma.rst", "ndk_core/intel/doc/eth.rst", "ndk_core/intel/doc/mem.rst", "ndk_core/intel/doc/mi.rst", "ndk_core/intel/doc/pcie.rst", "ndk_core/intel/doc/tsu.rst", "ndk_core/intel/readme.rst", "ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.rst", "ndk_core/intel/src/comp/network_mod/readme.rst", "ndk_core/intel/src/comp/network_mod/uvm/readme.rst", "ofm_doc/async.rst", "ofm_doc/base.rst", "ofm_doc/build/readme.rst", "ofm_doc/comp/base/dsp/dsp_comparator/readme.rst", "ofm_doc/comp/base/fifo/asfifox/readme.rst", "ofm_doc/comp/base/fifo/fifox/readme.rst", "ofm_doc/comp/base/fifo/fifox_multi/readme.rst", "ofm_doc/comp/base/logic/barrel_shifter/readme.rst", "ofm_doc/comp/base/logic/cnt_multi_memx/readme.rst", "ofm_doc/comp/base/logic/h3hash/readme.rst", "ofm_doc/comp/base/logic/n_loop_op/readme.rst", "ofm_doc/comp/base/logic/sr_sync_latch/readme.rst", "ofm_doc/comp/base/mem/mp_bram/readme.rst", "ofm_doc/comp/base/mem/np_lutram/readme.rst", "ofm_doc/comp/base/mem/sdp_bram/readme.rst", "ofm_doc/comp/base/misc/crossbarx/readme.rst", "ofm_doc/comp/base/misc/event_counter/readme.rst", "ofm_doc/comp/base/misc/packet_planner/readme.rst", "ofm_doc/comp/base/misc/pulse_short/readme.rst", "ofm_doc/comp/base/misc/trans_sorter/readme.rst", "ofm_doc/comp/base/pkg/readme.rst", "ofm_doc/comp/ctrls/sdm_client/readme.rst", "ofm_doc/comp/debug/data_logger/mem_logger/readme.rst", "ofm_doc/comp/debug/data_logger/readme.rst", "ofm_doc/comp/debug/histogramer/readme.rst", "ofm_doc/comp/debug/jtag_op_client/readme.rst", "ofm_doc/comp/debug/latency_meter/readme.rst", "ofm_doc/comp/debug/mem_tester/amm_gen/readme.rst", "ofm_doc/comp/debug/mem_tester/amm_probe/readme.rst", "ofm_doc/comp/debug/mem_tester/readme.rst", "ofm_doc/comp/debug/mem_tester/sw/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/readme.rst", "ofm_doc/comp/dma/dma_calypte/readme.rst", "ofm_doc/comp/flu_tools/readme.rst", "ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.rst", "ofm_doc/comp/mfb_tools/debug/generator/readme.rst", "ofm_doc/comp/mfb_tools/edit/frame_extender/readme.rst", "ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.rst", "ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.rst", "ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/dropper/readme.rst", "ofm_doc/comp/mfb_tools/flow/enabler/readme.rst", "ofm_doc/comp/mfb_tools/flow/frame_masker/readme.rst", "ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.rst", "ofm_doc/comp/mfb_tools/flow/loopback/readme.rst", "ofm_doc/comp/mfb_tools/flow/merger/readme.rst", "ofm_doc/comp/mfb_tools/flow/merger_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.rst", "ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.rst", "ofm_doc/comp/mfb_tools/flow/pipe/readme.rst", "ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.rst", "ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.rst", "ofm_doc/comp/mfb_tools/flow/splitter/readme.rst", "ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.rst", "ofm_doc/comp/mfb_tools/flow/transformer/readme.rst", "ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.rst", "ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.rst", "ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.rst", "ofm_doc/comp/mfb_tools/readme.rst", "ofm_doc/comp/mfb_tools/storage/asfifox/readme.rst", "ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.rst", "ofm_doc/comp/mfb_tools/storage/fifox/readme.rst", "ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.rst", "ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.rst", "ofm_doc/comp/mi_tools/async/readme.rst", "ofm_doc/comp/mi_tools/converters/mi2avmm/readme.rst", "ofm_doc/comp/mi_tools/converters/mi2axi4/readme.rst", "ofm_doc/comp/mi_tools/indirect_access/readme.rst", "ofm_doc/comp/mi_tools/pipe/readme.rst", "ofm_doc/comp/mi_tools/readme.rst", "ofm_doc/comp/mi_tools/reconf/readme.rst", "ofm_doc/comp/mi_tools/splitter_plus_gen/readme.rst", "ofm_doc/comp/mvb_tools/flow/channel_router/readme.rst", "ofm_doc/comp/mvb_tools/flow/demux/readme.rst", "ofm_doc/comp/mvb_tools/flow/discard/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_items/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_streams/readme.rst", "ofm_doc/comp/mvb_tools/flow/mux/readme.rst", "ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.rst", "ofm_doc/comp/mvb_tools/flow/operation/readme.rst", "ofm_doc/comp/mvb_tools/flow/shakedown/readme.rst", "ofm_doc/comp/mvb_tools/readme.rst", "ofm_doc/comp/mvb_tools/storage/fifox/readme.rst", "ofm_doc/comp/mvb_tools/storage/lookup_table/readme.rst", "ofm_doc/comp/nic/eth_phy/40ge/readme.rst", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.rst", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.rst", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.rst", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.rst", "ofm_doc/comp/pcie/common/readme.rst", "ofm_doc/comp/pcie/convertors/readme.rst", "ofm_doc/comp/pcie/logic/byte_count/readme.rst", "ofm_doc/comp/pcie/logic/byte_en_decoder/readme.rst", "ofm_doc/comp/pcie/mtc/readme.rst", "ofm_doc/comp/pcie/others/hdr_gen/readme.rst", "ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.rst", "ofm_doc/comp/pcie/ptc/readme.rst", "ofm_doc/comp/tsu/tsu_format_to_ns/readme.rst", "ofm_doc/comp/tsu/tsu_gen/readme.rst", "ofm_doc/comp/uvm/axi/readme.rst", "ofm_doc/comp/uvm/byte_array/readme.rst", "ofm_doc/comp/uvm/byte_array_lii/readme.rst", "ofm_doc/comp/uvm/byte_array_lii_rx/readme.rst", "ofm_doc/comp/uvm/byte_array_mfb/readme.rst", "ofm_doc/comp/uvm/byte_array_mii/readme.rst", "ofm_doc/comp/uvm/byte_array_pma/readme.rst", "ofm_doc/comp/uvm/common/readme.rst", "ofm_doc/comp/uvm/componets.rst", "ofm_doc/comp/uvm/intel_mac_seg/readme.rst", "ofm_doc/comp/uvm/lii/readme.rst", "ofm_doc/comp/uvm/lii_rx/readme.rst", "ofm_doc/comp/uvm/logic_vector/readme.rst", "ofm_doc/comp/uvm/logic_vector_array/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_axi/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_mfb/readme.rst", "ofm_doc/comp/uvm/logic_vector_mvb/readme.rst", "ofm_doc/comp/uvm/manual.rst", "ofm_doc/comp/uvm/mfb/readme.rst", "ofm_doc/comp/uvm/mi/readme.rst", "ofm_doc/comp/uvm/mvb/readme.rst", "ofm_doc/comp/uvm/pma/readme.rst", "ofm_doc/comp/uvm/reset/readme.rst", "ofm_doc/comp/uvm/sim_manual.rst", "ofm_doc/ctrls.rst", "ofm_doc/debug.rst", "ofm_doc/dsp.rst", "ofm_doc/fifo.rst", "ofm_doc/fl.rst", "ofm_doc/flu.rst", "ofm_doc/index.rst", "ofm_doc/logic.rst", "ofm_doc/memory.rst", "ofm_doc/mfb.rst", "ofm_doc/mi.rst", "ofm_doc/misc.rst", "ofm_doc/mvb.rst", "ofm_doc/nic.rst", "ofm_doc/pcie.rst", "ofm_doc/shift.rst", "ofm_doc/ver.rst"], "titles": ["Minimal NDK application", "Documentation of Minimal NDK Application", "AMD Alveo U200", "AMD Alveo U55C", "AMD VCU118@VU9P", "Bittware IA-420F", "Intel Stratix 10 DX FPGA DK", "Intel Agilex I-Series FPGA DK", "ReflexCES XpressSX AGI-FH400G", "Silicom fb2CGhh@KU15P", "Silicom fb4CGg3@VU9P", "Silicom N6010", "Configuration files and parameters", "Device Tree", "Frequently Asked Questions", "How to start", "NDK Terminology", "NDK testing", "Cocotb toplevel simulation core", "The Application", "The DMA module", "The Network Module", "The Memory Controller", "The MI bus interconnect", "The PCIe module", "Time Stamp Unit", "NDK architecture", "F-Tile Multirate IP", "NETWORK MODULE", "BUFFER", "Asynchronous modules", "Basic Tools", "Build System", "DSP Comparator", "ASFIFOX", "FIFOX", "FIFOX Multi", "Barrel Shifter", "Multi MEMx Counter", "H3 Class Hash", "N_LOOP_OP", "Synchronous SR latch", "Multi-port BRAM", "NP LUT RAM", "Simple dual-port BRAM", "CrossbarX", "Event Counter", "Packet Planner", "Pulse short", "Transaction Sorter", "Packages", "SDM CLIENT", "Mem logger", "Data logger", "Histogramer", "JTAG-over-protocol Client", "Latency meter", "AMM_GEN", "AMM_PROBE", "DDR4 Memory Tester", "MEM_TESTER Software", "Header Insertor", "Address Manager", "PCIe Addres Generator", "Header Manager", "Input Buffer", "Software Manager", "Transaction Buffer", "RX DMA Calypte", "Channel Start/stop control", "Metadata Extractor", "Packet Dispatcher", "Transaction buffer", "Software Manager", "TX DMA Calypte", "DMA Calypte", "FLU bus specification", "Gen Loop Switch (GLS)", "MFB Generator", "MFB FRAME EXTENDER", "MFB FRAME TRIMMER", "CROSSBARX STREAM2", "MFB Cutter Simple", "MFB Dropper", "MFB Enabler", "MFB Frame Masker", "Frame Unpacker", "MFB Loopback", "MFB Merger", "MFB Merger Simple", "Metadata Insertor", "Packet Delayer", "MFB PIPE", "Rate Limiter", "MFB Reconfigurator", "MFB Splitter", "MFB Splitter Simple", "Timestamp Limiter", "MFB Trasformer", "MFB Auxiliary Signals", "Checksum Calculator", "CrossbarX Stream", "MFB specification", "MFB ASFIFOX", "Crossbarx Output Buffer", "MFB FIFOX", "MFB Packet Discard ASFIFO", "MFB PD ASFIFO SIMPLE", "MI ASYNC", "MI2AVMM", "MI2AXI4", "MI indirect access", "MI Pipe", "MI bus specification", "MI Reconfigurator", "MI Splitter Plus Gen", "MVB Channel Router", "MVB DEMUX", "MVB DISCARD", "MVB Merge Items", "SHAKEDOWN", "MVB Merge Streams", "MVB MUX", "MVB2MFB", "MVB Operation", "MVB Shakedown", "MVB Specification", "MVB FIFOX", "MVB Lookup Table", "40GE Ethernet PHY for Ultrascale+ FPGAs", "BUFFER", "RX MAC LITE", "MFB -> LBUS reconfigurator (TX LBUS)", "TX MAC LITE", "PCI_EXT_CAP", "PCIE CONVERSION UNITS", "PCIE Byte Count", "PCIe Byte Enable Decoder", "MTC (MI Transaction Controller)", "PCIE Header parsing/deparsing", "PTC Tag Manager", "PTC (PCIe Transaction Controller)", "TSU Format to ns Convertor", "TSU GEN", "AXI Agent", "Byte Array agent", "Byte Array to LII convert enviroment", "Byte Array to LII convert enviroment", "Byte_array_mfb environment", "Byte array to MII transitional environment", "Byte array to pma convert enviroment", "Common package", "Components", "Intel MAC SEG", "LII agent", "LII agent", "Logic vector agent", "Logic Vector Array agent", "logic_vector_array_axi environment", "byte array to MAC SEG", "logic_vector_array_mfb environment", "logic_vector_mvb environment", "SystemVerilog and UVM tutorial", "MFB Agent", "MI agent", "MVB agent", "PMA agent", "RESET agent", "UVM simulation", "Controllers & TSU", "Debug Tools", "DSP components", "FIFO components", "FL Tools", "FLU Tools", "OFM User Guide", "Basic logic elements", "Memory modules", "MFB Tools", "MI Tools", "Miscellaneous", "MVB Tools", "Network Tools", "PCIe Tools", "Shift registers", "UVM Verification"], "terms": {"base": [0, 1, 12, 13, 21, 22, 24, 26, 31, 32, 35, 45, 53, 54, 57, 58, 59, 62, 63, 66, 68, 74, 86, 93, 95, 102, 104, 112, 114, 115, 122, 124, 129, 132, 134, 138, 139, 140, 141, 143, 145, 148, 149, 156, 158, 160, 161, 162, 165, 172, 176, 177, 180], "i": [0, 1, 4, 5, 6, 8, 10, 13, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 30, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 170, 172, 176, 177, 180, 183], "simpl": [0, 1, 12, 14, 17, 21, 22, 32, 36, 40, 68, 70, 72, 75, 87, 88, 91, 93, 94, 102, 113, 115, 116, 125, 126, 128, 138, 144, 145, 151, 152, 156, 157, 162, 163, 165, 176, 178, 180], "exampl": [0, 1, 8, 12, 15, 16, 17, 18, 19, 21, 24, 26, 36, 37, 40, 46, 47, 49, 50, 52, 53, 59, 79, 93, 94, 97, 113, 116, 131, 133, 137, 143, 145, 148, 151, 156, 157, 158, 160, 161, 167, 170, 176], "how": [0, 1, 17, 20, 34, 35, 36, 40, 46, 48, 50, 53, 68, 74, 85, 93, 102, 105, 113, 115, 126, 127, 148, 151, 158, 160, 161, 162], "build": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 17, 18, 21, 26, 55, 93, 129, 162], "an": [0, 1, 5, 6, 7, 8, 12, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 32, 35, 36, 40, 46, 47, 50, 54, 55, 71, 74, 77, 78, 79, 85, 87, 89, 93, 94, 96, 97, 102, 106, 108, 113, 115, 128, 129, 132, 134, 138, 141, 149, 151, 154, 155, 157, 158, 162, 164, 168, 170, 176, 177, 180], "fpga": [0, 1, 2, 3, 4, 5, 8, 9, 10, 11, 12, 17, 19, 20, 21, 22, 23, 24, 26, 27, 28, 32, 33, 34, 35, 42, 44, 45, 46, 51, 55, 59, 64, 74, 77, 78, 85, 86, 91, 92, 96, 97, 100, 101, 105, 107, 108, 112, 115, 116, 119, 121, 123, 124, 127, 131, 133, 135, 138, 141, 143, 170, 172, 175, 176, 177, 180, 182], "us": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 20, 22, 23, 24, 25, 26, 27, 28, 30, 33, 34, 35, 36, 37, 38, 39, 40, 42, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 64, 66, 67, 68, 75, 76, 78, 79, 81, 84, 85, 87, 88, 91, 93, 94, 97, 100, 101, 102, 103, 105, 106, 108, 109, 112, 113, 114, 116, 120, 121, 125, 126, 128, 129, 131, 132, 133, 134, 136, 138, 140, 141, 142, 143, 144, 145, 146, 147, 149, 150, 151, 152, 154, 155, 156, 157, 162, 163, 164, 165, 166, 167, 171, 172, 173, 174, 176, 177, 178, 179, 180, 181, 182, 183], "It": [0, 1, 12, 13, 19, 21, 23, 26, 28, 30, 32, 46, 51, 55, 57, 66, 73, 77, 79, 81, 85, 86, 89, 91, 93, 94, 96, 103, 104, 113, 115, 116, 123, 129, 131, 134, 138, 140, 141, 142, 144, 148, 149, 157, 158, 160, 161, 162, 164, 168, 172, 176, 177, 180], "can": [0, 1, 2, 3, 4, 5, 8, 13, 15, 16, 17, 19, 20, 21, 23, 24, 25, 26, 27, 30, 32, 33, 34, 35, 36, 37, 39, 40, 41, 45, 46, 47, 48, 49, 52, 53, 54, 55, 56, 57, 59, 60, 64, 65, 69, 70, 72, 74, 75, 79, 81, 84, 85, 86, 89, 91, 93, 94, 97, 100, 101, 102, 103, 106, 108, 111, 112, 113, 114, 115, 116, 119, 120, 121, 124, 125, 126, 128, 129, 131, 132, 133, 140, 141, 142, 145, 148, 149, 151, 156, 157, 158, 160, 161, 162, 164, 167, 168, 172, 176, 180], "also": [0, 1, 5, 6, 7, 10, 12, 13, 17, 19, 20, 21, 23, 24, 26, 27, 32, 33, 34, 36, 37, 40, 44, 47, 52, 53, 55, 59, 65, 66, 76, 85, 86, 93, 94, 101, 104, 108, 111, 113, 115, 126, 129, 131, 132, 140, 141, 146, 147, 148, 149, 150, 151, 158, 160, 162, 165, 176, 177, 183], "start": [0, 1, 5, 8, 11, 12, 17, 19, 24, 32, 45, 46, 47, 55, 56, 64, 73, 74, 76, 77, 78, 81, 84, 85, 86, 89, 93, 94, 102, 106, 115, 123, 126, 131, 132, 133, 135, 141, 143, 146, 147, 149, 150, 151, 154, 155, 162, 164, 166, 168], "point": [0, 1, 23, 45, 74, 86, 102, 106, 140], "your": [0, 1, 12, 14, 15, 17, 18, 19, 40, 52, 55, 59, 93, 97, 120, 151, 168], "doe": [0, 1, 13, 15, 19, 24, 28, 32, 34, 40, 49, 51, 70, 76, 78, 80, 85, 86, 93, 94, 102, 109, 113, 114, 120, 125, 131, 132, 133, 138, 142, 145, 156, 157, 162], "process": [0, 1, 12, 19, 23, 32, 40, 45, 47, 49, 50, 54, 70, 85, 86, 93, 102, 106, 114, 126, 132, 133, 135, 138, 141, 148, 151, 157, 158, 160, 161, 162, 167, 180], "network": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 15, 16, 17, 19, 25, 26, 50, 100, 116, 131, 162, 175], "packet": [0, 1, 16, 17, 20, 25, 26, 28, 61, 63, 64, 65, 66, 67, 68, 69, 73, 74, 75, 76, 77, 78, 81, 82, 83, 84, 85, 86, 93, 95, 96, 97, 100, 101, 104, 130, 131, 133, 135, 145, 148, 151, 156, 157, 158, 160, 162, 168, 178, 180, 182], "ani": [0, 1, 13, 15, 17, 32, 35, 39, 40, 45, 47, 49, 53, 80, 82, 83, 84, 85, 90, 92, 93, 94, 102, 103, 105, 111, 113, 114, 115, 117, 126, 131, 148, 149, 158, 160, 161, 162, 167], "wai": [0, 1, 12, 13, 21, 40, 41, 45, 46, 47, 86, 90, 93, 94, 106, 114, 115, 131, 132, 140, 141, 145, 150, 154, 155, 156, 166, 168], "onli": [0, 1, 5, 6, 7, 10, 12, 13, 14, 15, 19, 20, 21, 22, 24, 30, 32, 33, 34, 35, 36, 40, 42, 44, 45, 46, 47, 48, 49, 50, 53, 55, 59, 62, 67, 68, 74, 77, 78, 79, 84, 85, 86, 88, 91, 93, 94, 96, 97, 101, 102, 105, 106, 108, 109, 112, 113, 114, 115, 116, 124, 126, 127, 128, 129, 131, 132, 133, 134, 135, 138, 139, 140, 141, 143, 144, 145, 146, 147, 150, 151, 154, 155, 156, 157, 158, 162, 163, 164, 165, 166, 168, 172, 176, 177, 180, 185], "send": [0, 1, 12, 17, 19, 23, 37, 40, 45, 49, 51, 59, 74, 75, 78, 79, 84, 86, 102, 104, 106, 111, 116, 124, 126, 130, 135, 138, 141, 144, 146, 147, 148, 149, 150, 151, 158, 160, 161, 162, 163, 164, 166, 167, 168], "receiv": [0, 1, 14, 17, 24, 26, 28, 32, 46, 47, 52, 57, 58, 59, 62, 63, 68, 69, 74, 86, 96, 102, 104, 113, 116, 117, 119, 122, 124, 126, 129, 131, 132, 135, 141, 143, 144, 162, 164, 172, 180, 182], "them": [0, 1, 12, 13, 15, 19, 21, 22, 24, 27, 40, 46, 49, 53, 65, 85, 86, 90, 93, 94, 101, 106, 113, 115, 126, 129, 130, 132, 138, 140, 141, 146, 147, 148, 149, 157, 158, 160, 161, 162, 164, 166, 168], "If": [0, 1, 13, 14, 15, 17, 19, 20, 21, 24, 27, 32, 34, 42, 44, 46, 47, 49, 53, 59, 63, 71, 84, 85, 89, 93, 94, 101, 103, 106, 113, 115, 122, 125, 131, 133, 138, 140, 146, 147, 151, 162, 164, 165], "dma": [0, 1, 2, 4, 15, 17, 23, 24, 26, 62, 64, 66, 67, 69, 70, 71, 72, 73, 77, 97, 116, 140, 141, 183], "ip": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 17, 21, 22, 23, 28, 51, 55, 57, 59, 60, 75, 129, 131, 133, 138, 140, 141, 180, 183], "enabl": [0, 1, 8, 14, 17, 19, 20, 21, 24, 25, 32, 33, 34, 35, 36, 37, 39, 40, 42, 45, 46, 47, 48, 51, 53, 55, 59, 70, 75, 77, 78, 79, 80, 81, 82, 83, 85, 87, 88, 89, 90, 95, 97, 100, 101, 103, 106, 108, 109, 113, 114, 115, 116, 119, 120, 121, 124, 131, 133, 135, 136, 138, 139, 141, 142, 143, 149, 154, 155, 162, 164, 176, 177, 178, 183], "see": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 17, 19, 20, 21, 22, 32, 35, 36, 40, 44, 45, 46, 50, 51, 53, 77, 91, 93, 94, 97, 101, 103, 105, 108, 109, 115, 116, 123, 126, 129, 131, 132, 133, 135, 141, 143, 145, 156, 157, 158, 160, 161, 162, 163, 168], "modul": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 15, 16, 22, 23, 25, 26, 31, 50, 52, 53, 55, 63, 66, 68, 75, 76, 77, 81, 87, 116, 131, 133, 138, 141, 143, 169, 175, 180], "chapter": [0, 1, 12, 13, 15, 16, 17, 19, 20, 31, 131, 133, 169, 170, 173, 174, 178, 179, 181, 182, 183], "forward": [0, 1, 20, 21, 24, 86, 93, 106, 131, 133], "from": [0, 1, 12, 13, 14, 15, 16, 17, 20, 22, 23, 24, 25, 26, 27, 28, 32, 36, 39, 40, 41, 42, 44, 45, 46, 47, 49, 51, 52, 53, 55, 57, 58, 59, 60, 66, 67, 68, 69, 70, 71, 73, 74, 75, 77, 82, 84, 85, 86, 87, 89, 90, 91, 93, 94, 97, 98, 100, 101, 102, 106, 112, 113, 115, 116, 119, 124, 125, 126, 128, 129, 131, 132, 133, 134, 136, 138, 140, 141, 143, 144, 145, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 162, 163, 164, 165, 166, 168, 176, 177, 180], "comput": [0, 1, 39, 86, 141], "top": [0, 12, 13, 21, 22, 26, 32, 55, 75, 97, 152, 154, 155, 160], "level": [0, 12, 13, 21, 22, 26, 28, 32, 55, 74, 75, 85, 144, 145, 148, 149, 150, 152, 156, 157, 159, 160, 161, 163, 167], "provid": [0, 12, 15, 19, 21, 23, 24, 26, 32, 40, 41, 42, 45, 47, 53, 55, 66, 68, 73, 74, 87, 93, 101, 102, 103, 108, 125, 126, 129, 135, 136, 138, 151, 162, 171], "ethernet": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 17, 21, 23, 25, 26, 27, 28, 50, 77, 78, 116, 131, 133, 154, 155, 180, 182], "configur": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 15, 19, 21, 25, 30, 46, 51, 53, 55, 59, 61, 66, 73, 77, 78, 82, 84, 85, 94, 98, 99, 113, 116, 126, 128, 129, 131, 132, 133, 134, 135, 138, 143, 144, 146, 147, 150, 152, 154, 155, 163, 166, 167, 175], "bu": [0, 13, 17, 19, 20, 21, 22, 24, 26, 41, 45, 46, 51, 52, 53, 65, 66, 67, 68, 69, 72, 73, 74, 75, 81, 82, 87, 88, 92, 94, 95, 99, 102, 108, 109, 114, 115, 116, 117, 122, 123, 126, 128, 129, 131, 133, 135, 138, 139, 141, 143, 149, 152, 173, 174, 178, 179, 180, 181], "connect": [0, 2, 3, 4, 5, 6, 7, 8, 13, 15, 17, 19, 20, 21, 24, 26, 34, 35, 40, 41, 45, 47, 48, 55, 57, 59, 66, 75, 76, 77, 85, 94, 97, 109, 113, 114, 115, 129, 131, 133, 134, 141, 145, 146, 147, 148, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 164, 165, 166, 167, 176, 180], "individu": [0, 13, 19, 20, 21, 23, 24, 27, 30, 32, 86, 88, 91, 97, 113, 131, 162], "app": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 15, 17, 19, 22, 23, 55], "subcor": 0, "One": [0, 32, 39, 57, 70, 94, 96, 122, 124, 127, 144, 148, 160, 162, 165, 176], "independ": [0, 12, 16, 19, 21, 32, 40, 44, 45, 47, 86, 89, 93, 116, 121, 125, 140, 141, 154, 155, 162, 172], "instanti": [0, 13, 17, 19, 20, 21, 22, 32, 55, 124, 149, 162], "each": [0, 12, 13, 14, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 28, 32, 36, 40, 42, 45, 47, 50, 53, 54, 55, 59, 67, 72, 73, 74, 77, 82, 83, 84, 85, 86, 88, 91, 93, 94, 95, 96, 97, 99, 100, 102, 104, 106, 107, 111, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 126, 129, 131, 132, 133, 134, 135, 136, 140, 141, 151, 162, 165, 168, 172, 176, 180], "stream": [0, 17, 19, 20, 21, 24, 28, 45, 47, 75, 77, 81, 84, 86, 88, 89, 90, 93, 97, 100, 119, 129, 131, 133, 151, 162, 178, 181], "ar": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 31, 32, 33, 34, 35, 36, 39, 40, 41, 42, 44, 45, 46, 47, 49, 50, 51, 52, 54, 55, 57, 61, 62, 64, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 82, 84, 85, 86, 88, 89, 90, 91, 93, 94, 97, 98, 100, 101, 102, 108, 109, 111, 113, 115, 116, 117, 120, 123, 124, 126, 129, 131, 132, 133, 134, 135, 137, 139, 140, 141, 143, 148, 149, 150, 151, 154, 155, 158, 160, 161, 162, 164, 165, 166, 168, 169, 170, 173, 174, 176, 177, 178, 179, 180, 181, 182, 183], "implement": [0, 12, 13, 17, 19, 20, 21, 23, 24, 25, 33, 34, 35, 38, 40, 42, 44, 46, 55, 64, 66, 80, 86, 89, 94, 102, 103, 105, 108, 109, 112, 124, 125, 127, 128, 129, 131, 133, 141, 149, 151, 162, 164, 172, 175, 176, 177, 180], "mfb": [0, 19, 20, 21, 24, 28, 46, 61, 65, 67, 68, 69, 70, 71, 72, 74, 75, 77, 81, 86, 90, 93, 97, 100, 101, 104, 123, 126, 131, 133, 135, 138, 140, 141, 145, 148, 152, 156, 157, 160, 162, 181, 182], "buse": [0, 19, 20, 21, 24, 30, 112, 126], "mvb": [0, 19, 20, 21, 24, 35, 47, 61, 64, 74, 77, 79, 81, 86, 88, 90, 95, 100, 104, 120, 123, 131, 140, 141, 152, 161, 162], "block": [0, 12, 19, 20, 21, 24, 26, 33, 37, 42, 44, 54, 55, 65, 67, 68, 72, 74, 75, 77, 78, 79, 81, 87, 88, 89, 93, 94, 95, 96, 99, 100, 102, 124, 129, 131, 132, 133, 135, 138, 150, 157, 162, 165, 166, 172, 176], "diagram": [0, 8, 12, 19, 20, 21, 24, 26, 77, 94, 132, 138], "below": [0, 2, 3, 4, 8, 12, 15, 17, 19, 20, 21, 23, 24, 25, 32, 47, 50, 55, 86, 93, 97, 113, 115, 126, 135, 140, 148, 149, 151, 158, 160, 161, 162, 165, 166], "show": [0, 8, 12, 17, 21, 26, 40, 59, 85, 102, 113, 115, 126, 132, 144, 145, 148, 151, 156, 157, 158, 160, 161, 162, 163, 168], "In": [0, 13, 16, 17, 19, 21, 22, 24, 32, 36, 40, 41, 45, 51, 59, 64, 85, 93, 94, 97, 101, 102, 109, 113, 114, 115, 116, 126, 132, 133, 140, 141, 148, 149, 151, 154, 155, 158, 160, 161, 162, 165, 167, 168], "case": [0, 12, 13, 15, 17, 19, 22, 24, 27, 32, 33, 34, 36, 40, 41, 47, 49, 64, 85, 93, 94, 97, 102, 111, 113, 114, 115, 125, 126, 131, 132, 133, 139, 141, 149, 162, 168], "just": [0, 12, 15, 19, 21, 42, 59, 85, 93, 109, 115, 149, 162, 164, 165], "one": [0, 12, 16, 19, 20, 21, 24, 26, 30, 32, 33, 35, 37, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 54, 55, 57, 59, 62, 63, 67, 73, 76, 83, 85, 86, 88, 89, 90, 93, 94, 95, 96, 97, 98, 102, 106, 108, 109, 111, 113, 115, 117, 119, 121, 122, 124, 125, 126, 130, 131, 132, 133, 138, 140, 141, 143, 144, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 164, 165, 167, 168, 172, 176, 177, 178, 180, 181], "number": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 16, 17, 19, 20, 21, 24, 25, 27, 28, 33, 34, 35, 36, 38, 40, 42, 44, 45, 46, 47, 50, 52, 53, 54, 55, 56, 58, 59, 60, 61, 62, 63, 64, 65, 66, 68, 69, 72, 73, 74, 75, 77, 78, 79, 81, 82, 86, 87, 88, 89, 91, 93, 94, 95, 96, 97, 98, 100, 101, 102, 103, 104, 105, 107, 109, 111, 113, 115, 116, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 131, 132, 133, 134, 136, 138, 139, 140, 142, 143, 145, 146, 147, 149, 151, 156, 157, 162, 166, 167, 168, 176, 177], "eth": [0, 13, 14, 15, 17, 19, 21, 28, 77, 116, 131, 133, 151], "more": [0, 2, 3, 4, 12, 14, 15, 16, 17, 19, 21, 24, 26, 30, 32, 35, 40, 44, 45, 47, 56, 59, 70, 76, 84, 85, 86, 93, 94, 101, 102, 103, 105, 109, 111, 113, 115, 120, 121, 129, 131, 134, 141, 143, 149, 154, 155, 158, 160, 161, 162, 163, 164, 167, 168], "than": [0, 12, 14, 21, 24, 26, 30, 32, 33, 34, 45, 53, 57, 59, 77, 81, 85, 86, 93, 94, 102, 115, 124, 131, 133, 149, 162, 165, 176], "merger": [0, 21, 141, 178], "chan": [0, 116], "mod": [0, 162, 176], "split": [0, 19, 21, 32, 65, 94, 95, 96, 97, 151], "merg": [0, 21, 68, 74, 81, 88, 89, 94, 97, 120, 125, 146, 147, 151, 162, 181], "channel": [0, 12, 15, 17, 19, 20, 21, 27, 28, 38, 50, 61, 62, 63, 64, 66, 68, 70, 71, 72, 73, 74, 75, 77, 78, 97, 102, 104, 113, 126, 149, 151, 162, 172, 176, 181], "thi": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 23, 24, 25, 27, 30, 31, 32, 33, 35, 36, 39, 40, 41, 42, 45, 46, 47, 48, 49, 51, 53, 55, 57, 59, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 82, 83, 84, 85, 86, 87, 88, 89, 93, 94, 96, 97, 98, 100, 101, 102, 103, 104, 105, 106, 109, 110, 111, 113, 114, 115, 116, 117, 119, 120, 124, 125, 126, 128, 131, 132, 133, 135, 136, 137, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185], "avail": [0, 15, 17, 19, 21, 23, 24, 25, 26, 32, 34, 39, 47, 51, 53, 59, 71, 78, 85, 93, 97, 106, 116, 129, 131, 133, 140, 141, 143, 162], "within": [0, 13, 14, 32, 45, 66, 68, 69, 72, 73, 86, 91, 93, 94, 97, 100, 101, 102, 126, 151, 154, 155, 175], "singl": [0, 8, 19, 24, 30, 32, 36, 37, 46, 47, 49, 69, 86, 89, 93, 94, 96, 97, 102, 106, 115, 125, 126, 132, 135, 138, 154, 155, 157, 162, 177], "evenli": [0, 19, 21, 47, 126], "divid": [0, 20, 23, 24, 59, 93, 94, 102, 113, 116, 157, 162, 168], "between": [0, 17, 21, 23, 26, 32, 40, 45, 46, 47, 51, 52, 55, 56, 57, 59, 76, 77, 81, 86, 91, 93, 94, 97, 101, 102, 103, 108, 109, 115, 121, 125, 126, 129, 140, 141, 145, 148, 149, 151, 156, 157, 158, 160, 161, 162, 164, 166, 176, 180], "all": [0, 12, 13, 14, 15, 16, 19, 21, 24, 27, 28, 32, 36, 40, 45, 46, 47, 49, 50, 53, 55, 57, 59, 60, 69, 70, 71, 72, 73, 76, 77, 78, 84, 85, 86, 87, 90, 93, 94, 95, 97, 101, 102, 106, 113, 114, 115, 116, 117, 126, 129, 131, 133, 134, 135, 140, 141, 142, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 162, 164, 165, 166, 167, 168, 176], "tx": [0, 15, 16, 17, 19, 21, 28, 37, 50, 61, 73, 75, 77, 79, 81, 84, 85, 86, 87, 88, 89, 90, 91, 93, 94, 95, 96, 97, 98, 100, 101, 103, 105, 107, 114, 116, 117, 118, 119, 121, 122, 124, 125, 131, 144, 148, 149, 154, 155, 158, 160, 161, 162, 163, 165, 182], "direct": [0, 16, 23, 24, 32, 37, 45, 51, 74, 75, 76, 77, 102, 113, 114, 126, 132, 148, 158, 160, 161, 162, 165, 176], "static": [0, 19, 20], "map": [0, 17, 19, 20, 21, 32, 52, 53, 54, 56, 59, 109, 112, 113, 129, 134, 140, 141, 151], "accord": [0, 12, 13, 21, 24, 28, 34, 42, 44, 64, 69, 70, 85, 86, 93, 96, 97, 101, 102, 108, 109, 113, 115, 116, 126, 129, 131, 132, 133, 162, 180], "msb": [0, 93, 102, 115, 126, 132], "For": [0, 2, 3, 4, 12, 15, 16, 17, 19, 21, 26, 30, 32, 36, 40, 42, 45, 46, 47, 59, 60, 70, 71, 82, 84, 93, 94, 102, 103, 105, 106, 113, 115, 117, 122, 129, 131, 132, 133, 134, 135, 137, 139, 140, 141, 144, 146, 147, 148, 151, 158, 160, 161, 162, 163, 165, 167, 168, 170], "4": [0, 12, 13, 15, 16, 17, 19, 20, 27, 28, 36, 40, 46, 47, 50, 51, 53, 57, 58, 59, 61, 64, 65, 67, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 88, 92, 93, 96, 99, 100, 101, 102, 103, 104, 105, 107, 113, 114, 115, 116, 118, 119, 120, 121, 123, 125, 126, 127, 128, 129, 131, 133, 135, 136, 139], "32": [0, 17, 19, 21, 24, 25, 28, 34, 35, 41, 46, 47, 50, 52, 53, 59, 61, 64, 66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 81, 87, 88, 90, 93, 94, 95, 97, 98, 102, 105, 108, 109, 110, 111, 112, 113, 115, 116, 118, 119, 120, 121, 124, 127, 128, 129, 131, 135, 138, 139, 142, 143, 151, 154, 155, 166, 168, 180], "2": [0, 2, 4, 9, 10, 12, 15, 17, 19, 24, 27, 28, 33, 34, 35, 36, 40, 41, 42, 45, 46, 47, 48, 50, 51, 53, 54, 57, 58, 59, 61, 63, 64, 66, 68, 69, 71, 73, 74, 75, 76, 77, 78, 79, 81, 82, 83, 85, 86, 88, 89, 90, 91, 93, 94, 95, 96, 97, 98, 100, 101, 103, 104, 107, 108, 109, 110, 112, 113, 114, 116, 117, 121, 122, 125, 129, 130, 131, 133, 135, 136, 138, 139, 141, 142, 143, 154, 155, 162, 165, 166, 167, 176, 177], "bit": [0, 15, 17, 19, 21, 23, 24, 25, 30, 33, 34, 35, 36, 37, 40, 41, 42, 44, 45, 46, 48, 49, 50, 51, 53, 55, 57, 58, 59, 60, 62, 63, 64, 68, 70, 74, 75, 76, 77, 78, 79, 81, 82, 86, 87, 88, 89, 91, 93, 94, 95, 96, 97, 100, 101, 102, 108, 109, 110, 111, 113, 114, 116, 118, 119, 120, 121, 123, 126, 129, 131, 133, 134, 135, 138, 139, 142, 143, 154, 155, 162, 165, 166, 167, 176, 180], "taken": [0, 47, 106, 132], "3": [0, 8, 12, 17, 19, 20, 24, 27, 28, 35, 40, 41, 43, 46, 49, 53, 55, 57, 58, 59, 61, 64, 76, 78, 85, 93, 94, 102, 109, 110, 111, 113, 114, 125, 126, 129, 131, 133, 134, 137, 138, 139, 143], "so": [0, 12, 13, 17, 21, 27, 32, 36, 40, 41, 45, 47, 51, 85, 86, 93, 96, 97, 102, 106, 111, 113, 115, 132, 162, 166, 168, 180], "0": [0, 8, 11, 13, 15, 17, 19, 20, 21, 24, 25, 27, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 142, 143, 144, 149, 151, 154, 155, 156, 157, 162, 164, 165, 167, 177], "7": [0, 17, 19, 21, 40, 51, 52, 53, 58, 59, 76, 78, 81, 100, 115, 116, 129, 131, 133, 138, 139, 176, 177], "would": [0, 12, 16, 46, 85, 94, 115, 131, 132, 162, 164], "rout": [0, 24, 45, 50, 115, 116, 129], "8": [0, 12, 13, 15, 16, 17, 19, 21, 24, 28, 38, 42, 44, 51, 52, 53, 58, 59, 61, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 107, 108, 109, 110, 112, 113, 114, 115, 116, 123, 126, 127, 128, 129, 131, 132, 133, 135, 138, 139, 143, 154, 155, 162, 164, 168], "15": [0, 19, 21, 50, 53, 78, 116, 131, 143], "1": [0, 5, 7, 8, 12, 17, 19, 24, 25, 28, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 110, 112, 113, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 130, 131, 133, 135, 136, 138, 139, 141, 142, 143, 144, 150, 151, 154, 155, 156, 157, 162, 164, 165, 166, 167, 168, 176, 177], "etc": [0, 13, 21, 24, 31, 76, 93, 113, 125, 157, 162], "rx": [0, 14, 15, 16, 17, 19, 21, 28, 32, 50, 61, 66, 74, 75, 77, 79, 81, 85, 86, 87, 88, 89, 90, 91, 93, 94, 95, 96, 97, 98, 100, 101, 103, 105, 107, 114, 116, 117, 118, 120, 121, 122, 124, 125, 133, 144, 149, 152, 162, 163, 165, 182], "again": [0, 17, 19, 85, 89, 93, 113, 140], "user": [0, 1, 6, 7, 8, 13, 19, 20, 21, 22, 24, 26, 27, 28, 32, 33, 36, 40, 45, 46, 47, 49, 51, 52, 53, 59, 68, 70, 71, 74, 75, 77, 78, 79, 80, 81, 85, 86, 93, 94, 97, 106, 113, 115, 116, 123, 135, 139, 149, 162, 164, 167, 168, 172, 177], "perform": [0, 17, 32, 36, 40, 45, 47, 51, 53, 59, 94, 98, 126, 129, 131, 132, 133, 134, 143, 162, 176, 180], "router": [0, 181], "By": [0, 24, 77, 93, 133], "default": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 19, 20, 24, 25, 27, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 48, 52, 53, 54, 55, 56, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 131, 133, 135, 136, 138, 139, 142, 143, 148, 154, 155, 158, 160, 161, 162, 164, 166, 167, 168, 176], "ha": [0, 2, 3, 4, 12, 13, 14, 15, 19, 20, 21, 23, 30, 32, 35, 36, 39, 40, 41, 46, 47, 49, 57, 59, 61, 62, 71, 72, 73, 74, 76, 85, 86, 88, 93, 94, 97, 101, 102, 106, 113, 114, 115, 119, 126, 131, 132, 135, 140, 141, 145, 146, 147, 148, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 164, 166, 168, 176], "portion": 0, "which": [0, 12, 13, 14, 16, 19, 21, 23, 24, 27, 30, 32, 33, 39, 40, 45, 46, 47, 49, 55, 59, 60, 64, 65, 67, 68, 69, 71, 72, 73, 74, 76, 78, 82, 84, 85, 86, 91, 93, 94, 97, 101, 102, 106, 111, 113, 115, 117, 122, 124, 126, 131, 132, 133, 140, 141, 144, 145, 146, 147, 148, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 163, 164, 165, 166, 167, 168, 172, 177, 180], "And": [0, 12, 85, 94, 165], "state": [0, 19, 41, 59, 60, 68, 69, 91, 93, 97, 108, 113, 115, 138, 143, 148, 150, 158, 160, 161, 162, 166, 167, 172, 176], "round": [0, 36, 46, 63, 78, 86, 94, 114, 116, 180], "robin": [0, 78, 116, 180], "mode": [0, 8, 12, 17, 19, 20, 21, 24, 28, 30, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 46, 48, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 140, 142, 143, 154, 155, 162, 164, 177, 180], "contain": [0, 8, 12, 13, 17, 19, 20, 21, 22, 24, 25, 26, 32, 40, 45, 46, 47, 53, 59, 65, 70, 73, 77, 78, 85, 86, 88, 94, 95, 102, 104, 106, 108, 115, 117, 126, 128, 131, 133, 136, 139, 141, 143, 144, 145, 148, 149, 151, 154, 155, 156, 157, 158, 160, 161, 162, 164, 166, 167, 168, 172, 173, 174, 175, 176, 178, 179, 181, 185], "extern": [0, 14, 17, 19, 22, 25, 26, 51, 57, 59, 60, 97, 120, 129, 143, 170], "control": [0, 13, 15, 16, 17, 19, 21, 23, 26, 28, 36, 46, 48, 66, 73, 74, 78, 87, 93, 113, 116, 129, 131, 133, 143, 144, 149, 162, 163, 166, 168, 180, 183], "These": [0, 12, 19, 20, 21, 23, 25, 27, 32, 45, 76, 77, 85, 86, 93, 94, 102, 109, 113, 115, 126, 135, 141, 149, 151, 162], "make": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 18, 21, 27, 32, 47, 53, 55, 60, 86, 93, 102, 113, 115, 129, 131, 140, 149, 162], "easi": [0, 8, 14, 17, 23, 150, 154, 155, 166], "test": [0, 2, 3, 4, 15, 22, 23, 28, 35, 59, 60, 74, 75, 85, 125, 130, 135, 148, 151, 158, 160, 161, 168, 170], "oper": [0, 13, 15, 32, 86, 94, 115, 129, 131, 133, 140, 162, 176, 181], "measur": [0, 17, 22, 46, 52, 56, 58, 59, 162], "properti": [0, 13, 22, 39, 109, 145, 156, 157], "throughput": [0, 1, 14, 17, 20, 21, 22, 26, 28, 30, 45, 47, 49, 88, 93, 94, 101, 102, 141, 148, 158, 160, 161], "latenc": [0, 14, 20, 22, 24, 33, 36, 37, 38, 39, 40, 45, 52, 58, 59, 75, 85, 94, 109, 113, 124, 154, 155, 170, 176, 177], "avalon": [0, 19, 21, 22, 24, 51, 59, 109], "mm": [0, 19, 22, 51, 109], "access": [0, 12, 13, 22, 23, 26, 40, 46, 51, 54, 55, 59, 66, 68, 73, 75, 78, 93, 113, 131, 133, 134, 143, 162, 179, 180], "interfac": [0, 2, 3, 4, 13, 15, 16, 17, 22, 24, 26, 28, 34, 35, 38, 40, 45, 46, 47, 49, 51, 52, 53, 54, 57, 59, 61, 62, 63, 64, 66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 81, 82, 83, 84, 85, 87, 88, 89, 92, 93, 94, 95, 96, 97, 98, 99, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 120, 122, 124, 125, 128, 131, 132, 133, 135, 138, 139, 140, 141, 143, 144, 145, 148, 149, 151, 152, 156, 157, 158, 160, 161, 163, 164, 167, 168, 180, 183], "specif": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 19, 21, 22, 23, 24, 30, 32, 40, 48, 49, 53, 56, 59, 61, 62, 64, 69, 73, 86, 93, 97, 129, 131, 132, 134, 135, 141, 149, 168, 172, 173, 174, 177, 178, 179, 180, 181], "run": [0, 8, 12, 13, 16, 17, 18, 20, 24, 28, 32, 40, 45, 46, 55, 59, 60, 69, 93, 103, 104, 107, 129, 144, 145, 148, 149, 156, 157, 158, 160, 161, 163, 164, 167, 168], "rpm": [0, 15, 55], "packag": [0, 8, 13, 15, 18, 19, 21, 23, 32, 52, 53, 55, 59, 129, 131, 134, 144, 145, 148, 152, 156, 157, 158, 160, 163, 164, 167], "python3": [0, 17, 52, 53, 59, 60], "nfb": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 15, 17, 19, 21, 23, 25, 52, 53, 55, 59], "requir": [0, 5, 6, 7, 8, 12, 14, 15, 16, 17, 18, 21, 24, 28, 30, 32, 35, 36, 40, 46, 57, 85, 88, 93, 94, 101, 114, 115, 119, 123, 124, 131, 132, 133, 140, 141, 144, 148, 151, 158, 160, 161, 162, 163, 167, 176, 177], "you": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 17, 19, 20, 21, 24, 27, 36, 37, 40, 45, 47, 48, 51, 52, 53, 55, 59, 63, 93, 94, 97, 111, 113, 115, 116, 120, 131, 133, 144, 148, 151, 158, 160, 161, 162, 163, 167, 168, 170, 176], "obtain": [0, 12, 17, 51, 102, 134], "cesnet": [0, 8, 12, 13, 14, 55, 175], "framework": [0, 5, 8, 11, 13, 14, 55, 59, 79, 162], "copr": [0, 55], "repositori": [0, 12, 13, 14, 31, 32, 55, 131, 133, 169, 170, 173, 174, 175, 178, 179, 181, 182, 183], "instal": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 15, 18, 52, 53, 55, 59], "data_logg": [0, 52, 53, 59], "python": [0, 8, 17, 18, 27, 52, 53, 59, 60, 93], "sourc": [0, 1, 12, 13, 15, 19, 20, 21, 25, 32, 45, 50, 55, 76, 78, 91, 92, 97, 101, 116, 118, 119, 121, 131, 133, 135, 143, 162, 165, 175, 176, 180, 183], "code": [0, 12, 30, 32, 35, 55, 115, 151, 176, 185], "follow": [0, 8, 12, 15, 17, 19, 21, 32, 40, 46, 59, 62, 65, 68, 74, 75, 84, 85, 86, 87, 93, 94, 102, 106, 113, 114, 115, 116, 126, 129, 131, 132, 141, 144, 145, 148, 156, 157, 158, 160, 162, 163, 168], "command": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 15, 32, 51, 53, 55, 60, 111, 129, 131, 133, 162, 167, 168], "cd": [0, 8, 52, 53, 55, 59], "xxx_root_directori": [0, 15, 17, 23], "ofm": [0, 12, 13, 17, 31, 55, 59, 131, 133, 134, 169, 170, 173, 174, 178, 179, 181, 182, 183], "comp": [0, 17, 31, 55, 59, 60, 112, 154, 155, 162, 169, 170, 173, 174, 178, 179, 181, 182, 183], "debug": [0, 2, 3, 4, 8, 17, 20, 23, 24, 46, 47, 59, 69, 74, 75, 131, 162, 180], "sw": [0, 13, 17, 46, 60, 62, 63, 66, 68, 73, 75, 93, 128, 131, 133], "setup": [0, 27, 32, 45, 46, 52, 53, 59, 101, 167], "py": [0, 8, 17, 27, 52, 53, 55, 59, 93], "Then": [0, 12, 13, 21, 52, 57, 59, 86, 93, 97, 102, 113, 115, 141, 162, 164, 167], "go": [0, 13, 15, 68, 74, 113, 115, 162], "mem": [0, 19, 22, 53], "tool": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 17, 19, 21, 23, 25, 32, 53, 131, 133, 143, 162, 168], "directori": [0, 12, 13, 15, 18, 31, 32, 143, 162, 169, 170, 173, 174, 178, 179, 181, 182, 183, 185], "mem_test": [0, 13, 52, 59], "output": [0, 15, 17, 19, 20, 21, 24, 28, 30, 33, 34, 35, 36, 37, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 53, 59, 60, 61, 64, 67, 68, 69, 70, 71, 72, 74, 75, 76, 82, 83, 84, 85, 86, 87, 88, 89, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 106, 109, 111, 112, 113, 115, 116, 118, 119, 120, 122, 123, 124, 125, 128, 129, 130, 131, 132, 133, 135, 136, 137, 139, 140, 142, 143, 151, 162, 172, 176, 178, 180], "wa": [0, 12, 21, 27, 40, 53, 59, 75, 85, 93, 94, 113, 115, 124, 131, 140, 162, 167, 168, 176, 178, 181], "success": [0, 19, 59, 134, 162], "mem_logg": [0, 13, 52, 53, 58, 59], "statist": [0, 28, 38, 40, 46, 52, 53, 59, 74, 75, 84, 113, 129, 131, 133, 162, 176, 180], "write": [0, 5, 6, 7, 12, 13, 14, 17, 23, 24, 32, 34, 35, 40, 42, 44, 45, 46, 47, 49, 50, 51, 52, 53, 54, 57, 58, 59, 60, 66, 72, 73, 74, 78, 93, 97, 104, 108, 109, 111, 113, 116, 129, 131, 133, 134, 138, 139, 140, 141, 143, 146, 147, 150, 151, 154, 155, 162, 164, 165, 166, 168, 172, 176, 177], "request": [0, 15, 17, 19, 20, 21, 23, 24, 26, 34, 36, 40, 50, 51, 52, 53, 54, 57, 58, 59, 62, 63, 64, 69, 73, 74, 75, 85, 93, 97, 103, 108, 109, 111, 113, 114, 116, 125, 131, 133, 134, 135, 138, 139, 140, 141, 143, 146, 147, 164, 168, 172, 180], "33554431": 0, "word": [0, 14, 19, 24, 34, 35, 36, 42, 44, 45, 47, 50, 51, 52, 57, 58, 59, 60, 61, 65, 67, 70, 76, 77, 78, 84, 85, 86, 87, 88, 89, 91, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 114, 116, 118, 119, 121, 124, 126, 127, 131, 132, 133, 135, 138, 139, 144, 148, 149, 150, 151, 154, 155, 158, 160, 161, 162, 163, 166, 168], "134217724": 0, "read": [0, 13, 14, 15, 17, 21, 23, 24, 28, 34, 35, 38, 40, 42, 44, 45, 46, 47, 50, 51, 52, 53, 54, 57, 58, 59, 60, 64, 66, 71, 72, 73, 74, 85, 91, 93, 102, 104, 106, 108, 109, 111, 113, 114, 116, 124, 125, 128, 129, 131, 132, 133, 134, 138, 139, 140, 141, 143, 148, 149, 158, 160, 162, 164, 167, 168, 172, 176, 177], "flow": [0, 2, 3, 4, 19, 21, 52, 59, 90, 93, 180], "160": 0, "78": [0, 17], "gb": [0, 59], "": [0, 12, 13, 15, 19, 21, 24, 25, 27, 30, 32, 33, 36, 40, 45, 46, 47, 51, 59, 62, 63, 68, 76, 78, 84, 85, 86, 91, 93, 94, 97, 101, 102, 106, 111, 113, 115, 129, 131, 141, 142, 151, 162, 164, 165, 175], "161": 0, "68": 0, "total": [0, 17, 24, 38, 40, 47, 55, 59, 66, 68, 69, 72, 73, 75, 81, 102, 116, 131, 133], "23": [0, 19, 21, 50, 116, 131], "time": [0, 12, 13, 21, 26, 27, 32, 34, 36, 40, 41, 42, 44, 46, 47, 49, 53, 54, 56, 59, 85, 88, 91, 94, 97, 103, 106, 114, 116, 121, 123, 131, 132, 140, 143, 151, 162, 167], "427": 0, "42": [0, 129], "m": [0, 36, 46, 59, 60, 120, 167, 176], "425": 0, "04": [0, 59], "852": 0, "46": 0, "min": [0, 53, 58, 59, 68, 74, 78, 80, 82, 101, 116, 131, 145, 148, 156, 157, 158, 160, 161], "96": [0, 17, 76, 139], "00": [0, 15, 33, 59, 115, 139], "n": [0, 21, 32, 36, 40, 42, 43, 44, 46, 59, 85, 91, 96, 97, 116, 120, 129, 145, 151, 156, 157, 162, 167, 176], "max": [0, 19, 24, 28, 35, 42, 44, 53, 56, 58, 59, 61, 65, 67, 68, 69, 70, 71, 72, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 116, 117, 122, 123, 128, 131, 133, 135, 138, 143, 145, 148, 156, 157, 158, 160, 161, 162, 167, 168], "555": 0, "avg": [0, 59, 101], "131": 0, "56": 0, "histogram": [0, 52, 53, 58, 59, 170], "93": [0, 59], "117": [0, 59], "5": [0, 13, 15, 17, 21, 28, 32, 47, 51, 53, 58, 59, 78, 85, 93, 101, 115, 116, 129, 131, 138, 154, 155, 166], "12613618": 0, "141": 0, "6": [0, 5, 8, 11, 17, 19, 21, 40, 50, 53, 58, 59, 61, 78, 102, 115, 129, 131, 133, 139], "13893635": 0, "165": 0, "6618217": 0, "503": 0, "527": 0, "74899": 0, "551": 0, "265549": 0, "575": [0, 48], "88513": 0, "error": [0, 12, 19, 21, 27, 28, 50, 59, 111, 113, 129, 130, 131, 151, 162], "zero": [0, 40, 56, 59, 76, 78, 117, 131, 162, 166], "burst": [0, 19, 57, 58, 59, 78, 109, 161, 162, 164], "count": [0, 19, 25, 36, 46, 47, 52, 53, 57, 58, 59, 82, 93, 101, 117, 125, 131, 133, 176, 180, 183], "simultan": [0, 36, 40, 59, 81, 93, 102], "r": [0, 7, 8, 15, 18, 19, 23, 24, 57, 58, 59, 60, 93, 129, 131, 133, 141], "w": [0, 15, 19, 23, 57, 58, 59, 60, 93, 131, 133], "paralel": [0, 56], "13": [0, 53, 55, 69, 70, 76, 136, 139], "10": [0, 14, 15, 21, 22, 24, 26, 28, 30, 33, 51, 53, 58, 59, 81, 91, 97, 113, 129, 131, 133, 139, 142, 143, 151, 162, 164, 166, 168, 172, 177, 180], "83": [0, 17, 129], "27238": 0, "12": [0, 13, 15, 19, 46, 53, 58, 63, 64, 66, 73, 75, 76, 77, 86, 102, 104, 123, 129, 139], "4294967295": 0, "16": [0, 8, 12, 15, 19, 21, 24, 35, 50, 53, 55, 59, 61, 62, 63, 64, 66, 68, 69, 70, 71, 72, 75, 76, 78, 86, 90, 93, 100, 104, 105, 113, 116, 119, 124, 127, 131, 132, 139, 143, 151, 162, 168], "13345442": 0, "document": [0, 12, 15, 17, 20, 21, 27, 32, 35, 36, 45, 55, 76, 86, 102, 103, 105, 141, 148, 158, 160, 161, 162, 163, 168, 172, 176, 180], "detail": [0, 8, 12, 15, 19, 20, 21, 30, 32, 44, 45, 47, 51, 101, 126, 129, 135, 141, 154, 155, 162, 172, 176, 180], "descript": [0, 8, 13, 19, 20, 21, 23, 24, 25, 26, 28, 30, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 46, 47, 48, 50, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 141, 142, 143, 145, 148, 151, 152, 156, 157, 158, 160, 161, 164, 165, 168, 170, 173, 174, 178, 179, 180, 181, 183], "address": [0, 13, 17, 19, 21, 24, 28, 40, 42, 44, 45, 46, 47, 50, 51, 57, 58, 59, 60, 63, 64, 66, 68, 70, 71, 72, 74, 77, 78, 87, 97, 101, 102, 106, 108, 109, 110, 113, 114, 116, 128, 131, 133, 138, 139, 143, 162, 164, 177, 180], "space": [0, 13, 17, 19, 21, 22, 24, 26, 28, 32, 33, 34, 45, 46, 47, 49, 50, 57, 58, 59, 64, 66, 70, 77, 78, 87, 97, 106, 113, 115, 116, 131, 133, 138, 140, 141, 143, 148, 151, 158, 160, 161, 162, 166, 180], "entir": [0, 13, 17, 19, 21, 23, 32, 45, 50, 132, 141], "core": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 17, 19, 23, 26, 28, 32, 39, 40, 55, 68, 74, 75, 78, 129, 134, 140, 141], "wrapper": [0, 20, 44, 46, 55, 112, 152, 180], "whole": [0, 8, 19, 21, 23, 37, 41, 46, 57, 58, 59, 61, 65, 67, 70, 86, 93, 94, 102, 114, 124, 126, 131, 133, 138, 143, 145, 149, 154, 155, 156, 157, 162, 166], "describ": [0, 2, 3, 4, 12, 13, 15, 17, 19, 20, 21, 23, 25, 31, 32, 45, 76, 93, 102, 126, 129, 140, 141, 145, 148, 149, 156, 157, 158, 160, 161, 162, 165, 166, 168, 169, 170, 182, 183], "devtre": [0, 13, 23, 32, 55, 59], "its": [0, 12, 13, 14, 15, 17, 19, 21, 23, 24, 27, 32, 41, 45, 47, 49, 51, 54, 55, 59, 62, 69, 73, 74, 75, 79, 85, 86, 93, 97, 101, 102, 106, 113, 115, 132, 140, 141, 145, 151, 156, 157, 158, 161, 162, 165, 168], "overview": [0, 171], "card": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 17, 18, 19, 21, 22, 23, 24, 26, 32, 50, 55, 59], "l": [0, 32], "firmwar": [0, 17, 19, 20, 22, 23, 24, 26, 93, 113, 134], "mi_bus0": [0, 13, 17], "path": [0, 8, 12, 14, 17, 32, 49, 55, 60, 76, 90, 92, 113, 129, 141, 162, 180], "0x00002000": [0, 23], "pmci": [0, 13], "ofs_pmci": [0, 13], "0x00000000": [0, 23, 115], "mi_test_spac": [0, 13, 17], "0x00004000": [0, 23], "netcop": [0, 13, 60], "tsu": [0, 13, 19, 21, 25, 26, 28, 50, 91, 97, 131], "0x01000000": [0, 13, 23], "dma_ctrl_ndp_rx": [0, 13], "dma_modul": [0, 13], "dma_ctrl_ndp_rx0": [0, 13], "0x01000080": 0, "dma_ctrl_ndp_rx1": [0, 13], "0x01000100": 0, "dma_ctrl_ndp_rx2": [0, 13], "0x01000180": 0, "dma_ctrl_ndp_rx3": [0, 13], "0x01000200": 0, "dma_ctrl_ndp_rx4": [0, 13], "0x01000280": 0, "dma_ctrl_ndp_rx5": [0, 13], "0x01000300": 0, "dma_ctrl_ndp_rx6": [0, 13], "0x01000380": 0, "dma_ctrl_ndp_rx7": [0, 13], "0x01000400": 0, "dma_ctrl_ndp_rx8": [0, 13], "0x01000480": 0, "dma_ctrl_ndp_rx9": [0, 13], "0x01000500": 0, "dma_ctrl_ndp_rx10": [0, 13], "0x01000580": 0, "dma_ctrl_ndp_rx11": [0, 13], "0x01000600": 0, "dma_ctrl_ndp_rx12": [0, 13], "0x01000680": 0, "dma_ctrl_ndp_rx13": [0, 13], "0x01000700": 0, "dma_ctrl_ndp_rx14": [0, 13], "0x01000780": 0, "dma_ctrl_ndp_rx15": [0, 13], "0x01200000": 0, "dma_ctrl_ndp_tx": [0, 13], "dma_ctrl_ndp_tx0": [0, 13], "0x01200080": 0, "dma_ctrl_ndp_tx1": [0, 13], "0x01200100": 0, "dma_ctrl_ndp_tx2": [0, 13], "0x01200180": 0, "dma_ctrl_ndp_tx3": [0, 13], "0x01200200": 0, "dma_ctrl_ndp_tx4": [0, 13], "0x01200280": 0, "dma_ctrl_ndp_tx5": [0, 13], "0x01200300": 0, "dma_ctrl_ndp_tx6": [0, 13], "0x01200380": 0, "dma_ctrl_ndp_tx7": [0, 13], "0x01200400": 0, "dma_ctrl_ndp_tx8": [0, 13], "0x01200480": 0, "dma_ctrl_ndp_tx9": [0, 13], "0x01200500": 0, "dma_ctrl_ndp_tx10": [0, 13], "0x01200580": 0, "dma_ctrl_ndp_tx11": [0, 13], "0x01200600": 0, "dma_ctrl_ndp_tx12": [0, 13], "0x01200680": 0, "dma_ctrl_ndp_tx13": [0, 13], "0x01200700": 0, "dma_ctrl_ndp_tx14": [0, 13], "0x01200780": 0, "dma_ctrl_ndp_tx15": [0, 13], "0x00003010": 0, "i2c": [0, 13, 21], "i2c0": [0, 13], "0x0000301c": 0, "pmdctrl0": [0, 13], "0x00003110": 0, "i2c1": [0, 13], "0x0000311c": 0, "pmdctrl1": [0, 13], "0x00800000": [0, 21, 23], "pcsreg": [0, 13], "regarr0": [0, 13], "0x00008000": [0, 21, 23], "txmac": [0, 13], "txmac0": [0, 13], "0x00008200": 0, "rxmac": [0, 13], "rxmac0": [0, 13], "0x00a00000": 0, "regarr1": [0, 13], "0x0000a000": 0, "txmac1": [0, 13], "0x0000a200": 0, "rxmac1": [0, 13], "0x00001000": [0, 23], "intel_sdm_control": [0, 13], "0x00010000": [0, 23, 55], "intel_jtag_op_ctrl": [0, 13], "intel_jtag_op_control": [0, 13], "0x02000000": [0, 23], "app_cor": [0, 13], "app_core_minimal_0": [0, 13], "mvb_channel_rout": [0, 13], "rx_chan_rout": [0, 13], "0x02800000": 0, "app_core_minimal_1": [0, 13], "0x03000000": 0, "mem_tester_0": [0, 13], "0x03020000": 0, "mem_tester_1": [0, 13], "0x03040000": 0, "mem_tester_2": [0, 13], "0x03060000": 0, "mem_tester_3": [0, 13], "0x03080000": 0, "mem_logger_0": [0, 13], "0x030a0000": 0, "mem_logger_1": [0, 13], "0x030c0000": 0, "mem_logger_2": [0, 13], "0x030e0000": 0, "mem_logger_3": [0, 13], "0x00005000": [0, 23], "gen_loop_switch": [0, 13, 17, 77], "dbg_gls0": [0, 13], "0x00005080": 0, "mfb_gener": [0, 13], "mfb_gen2dma": [0, 13], "0x000050c0": 0, "mfb_gen2eth": [0, 13], "0x00005200": 0, "dbg_gls1": [0, 13], "0x00005280": 0, "0x000052c0": 0, "welcom": [1, 175], "The": [1, 8, 12, 13, 14, 15, 16, 17, 25, 26, 27, 30, 31, 33, 34, 35, 36, 37, 40, 41, 42, 44, 45, 46, 47, 49, 53, 54, 55, 57, 59, 61, 62, 63, 64, 65, 67, 69, 70, 72, 73, 74, 75, 78, 79, 80, 81, 82, 84, 85, 86, 87, 91, 93, 94, 95, 97, 100, 101, 102, 103, 106, 108, 109, 111, 113, 114, 115, 116, 118, 121, 122, 126, 128, 129, 131, 132, 133, 134, 135, 136, 138, 139, 140, 141, 143, 144, 145, 148, 149, 151, 156, 157, 158, 160, 161, 162, 163, 164, 165, 168, 169, 170, 172, 176, 177, 178, 180, 181, 182, 183], "refer": [1, 2, 3, 4, 12, 13, 15, 16, 17, 19, 21, 22, 39, 109, 129, 149, 162], "develop": [1, 6, 7, 12, 15, 20, 26, 32, 162, 168, 175, 178, 181], "kit": [1, 4, 6, 7, 15, 26], "allow": [1, 8, 12, 15, 19, 20, 21, 23, 24, 26, 27, 28, 33, 34, 36, 40, 42, 44, 45, 46, 47, 48, 49, 64, 66, 68, 73, 75, 77, 78, 79, 80, 83, 85, 93, 94, 102, 103, 108, 118, 119, 126, 128, 129, 131, 132, 133, 138, 140, 149, 154, 155, 162, 170, 172, 176, 177], "quickli": [1, 17, 26, 148, 160, 161], "easili": [1, 13, 26, 65, 112], "acceler": [1, 26, 171], "optim": [1, 20, 26, 27, 40, 88, 112, 116, 172, 176, 177, 180], "high": [1, 14, 16, 20, 26, 45, 47, 59, 68, 78, 99, 102, 111, 116, 129, 131, 133, 143, 148, 149, 150, 158, 160, 165, 167, 176], "scalabl": [1, 26, 45], "support": [1, 12, 15, 16, 17, 20, 21, 22, 26, 28, 32, 34, 36, 39, 40, 42, 44, 50, 51, 55, 60, 64, 74, 75, 76, 77, 78, 81, 91, 93, 94, 97, 102, 109, 114, 116, 131, 132, 133, 135, 139, 141, 143, 172, 177, 178, 181], "up": [1, 2, 4, 5, 6, 7, 8, 9, 10, 11, 14, 16, 19, 20, 21, 24, 32, 36, 45, 55, 63, 76, 86, 94, 106, 129, 131, 132, 133, 134, 145, 149, 151, 154, 155, 156, 162, 166, 167, 176], "400": [1, 14, 20, 26, 28, 129, 131, 133], "gigabit": [1, 16, 26], "memori": [1, 5, 6, 7, 14, 19, 21, 23, 24, 26, 31, 34, 35, 40, 43, 49, 50, 51, 52, 55, 57, 60, 74, 77, 93, 103, 105, 108, 109, 113, 127, 131, 140, 146, 147, 170, 172, 176, 180], "medusa": [1, 183], "part": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 15, 17, 19, 20, 21, 23, 24, 32, 40, 49, 68, 74, 76, 77, 78, 79, 85, 102, 104, 113, 114, 115, 131, 132, 133, 140, 141, 143], "open": [1, 2, 3, 4, 15, 20, 51, 55, 59, 175], "get": [1, 13, 16, 19, 20, 21, 32, 40, 46, 55, 59, 86, 90, 93, 113, 120, 134, 138, 148, 151, 158, 160, 161, 162, 164, 165], "includ": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 17, 19, 20, 21, 22, 23, 24, 32, 34, 52, 59, 60, 81, 94, 129, 131, 133, 138, 162, 172, 183], "profession": [1, 20], "through": [1, 13, 14, 17, 19, 20, 21, 26, 32, 34, 46, 47, 68, 74, 85, 93, 97, 101, 102, 103, 104, 106, 111, 113, 116, 128, 131, 133, 134, 143, 144, 145, 156, 157, 161, 162, 163, 180], "our": [1, 15, 16, 20, 21, 40, 46, 102, 113, 115, 162], "partner": [1, 20, 21], "brnolog": [1, 20], "inform": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 17, 21, 24, 25, 40, 45, 51, 55, 64, 70, 73, 75, 77, 79, 81, 93, 94, 100, 103, 104, 105, 106, 109, 131, 135, 140, 141, 149, 158, 160, 161, 162, 163, 168, 180], "vendor": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 24, 64, 134], "xilinx": [2, 3, 4, 9, 10, 12, 14, 15, 21, 22, 24, 34, 35, 75, 92, 105, 112, 124, 127, 129, 131, 133, 135, 141, 172, 176, 177, 180], "name": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 19, 21, 25, 27, 28, 32, 39, 40, 45, 50, 55, 68, 74, 75, 76, 85, 86, 91, 96, 97, 100, 101, 102, 113, 116, 126, 131, 132, 133, 134, 143, 148, 151, 154, 155, 158, 160, 161, 162, 163, 164, 165, 166, 167], "port": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 17, 21, 24, 26, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 43, 46, 48, 50, 55, 57, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 132, 135, 136, 137, 138, 139, 141, 142, 143, 145, 146, 147, 150, 151, 152, 156, 157, 162, 164, 165, 172, 176, 177], "2x": [2, 3, 4, 6, 7, 9, 10, 11, 58, 131], "qsfp28": [2, 3, 4, 9, 10, 16], "pcie": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 19, 20, 23, 26, 50, 55, 61, 64, 68, 69, 70, 72, 74, 75, 102, 134, 138, 140], "conector": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11], "edg": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 30, 57, 59, 102, 176], "connector": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 24, 26], "websit": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11], "xcu200": 2, "fsgd2104": 2, "e": [2, 3, 5, 6, 9, 11, 12, 14, 17, 18, 19, 21, 28, 32, 40, 41, 46, 47, 55, 72, 86, 93, 94, 97, 102, 109, 113, 114, 115, 126, 131, 132, 133, 135, 162, 168], "basic": [2, 13, 15, 17, 21, 22, 32, 53, 59, 60, 93, 97, 116, 132, 148, 154, 155, 157, 158, 160, 161, 166], "same": [2, 12, 14, 15, 19, 21, 30, 32, 40, 41, 45, 47, 49, 54, 55, 56, 58, 59, 60, 76, 77, 85, 87, 89, 93, 94, 97, 101, 102, 104, 106, 109, 113, 114, 115, 119, 124, 126, 131, 132, 133, 134, 139, 145, 150, 151, 154, 155, 156, 157, 162, 163, 164, 165, 168, 172, 176, 177, 180], "vu9p": 2, "hard": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 17, 21, 57, 59, 131, 133, 138], "cmac": [2, 3, 4, 9, 10, 14, 21, 28, 131, 133], "100g": [2, 3, 4, 5, 6, 9, 10, 11, 13, 27, 93, 102], "usp": [2, 3, 4, 9, 10, 24, 138], "gen3": [2, 4, 9, 10, 24, 75], "x16": [2, 4, 5, 6, 7, 8, 9, 10, 11, 15, 24, 75], "app_root_directori": [2, 3, 4, 5, 6, 7, 8, 9, 10], "config": [2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 78, 148, 152, 158, 160, 161, 162], "card_conf": [2, 3, 4, 5, 6, 7, 8, 9, 10], "tcl": [2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 15, 23, 55], "file": [2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 15, 18, 19, 23, 27, 55, 59, 149, 162, 168], "makefil": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 20, 24, 27], "target": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 15, 21, 24, 26, 30, 33, 35, 40, 45, 46, 47, 55, 59, 75, 79, 81, 90, 93, 94, 104, 107, 109, 110, 113, 114, 115, 133, 139], "valid": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 15, 19, 21, 25, 27, 28, 34, 36, 42, 44, 45, 46, 49, 50, 54, 57, 61, 64, 70, 76, 80, 81, 82, 83, 84, 85, 86, 88, 89, 90, 91, 93, 94, 96, 97, 99, 100, 101, 102, 103, 104, 106, 107, 109, 113, 114, 116, 118, 119, 120, 121, 123, 124, 125, 126, 129, 131, 133, 134, 135, 138, 139, 140, 143, 146, 147, 148, 150, 154, 155, 160, 162, 164, 165, 166, 176], "minim": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 19, 22, 53, 58, 59, 131, 133], "mai": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 15, 17, 19, 32, 34, 35, 36, 47, 49, 76, 85, 93, 94, 102, 113, 120, 126, 131, 133, 138, 140, 141, 151, 162], "vari": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 15, 24, 40], "other": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 17, 21, 24, 27, 28, 33, 36, 38, 40, 44, 45, 46, 47, 49, 53, 59, 69, 74, 76, 78, 82, 84, 85, 88, 89, 90, 92, 93, 94, 95, 97, 102, 104, 110, 113, 114, 115, 117, 126, 129, 131, 133, 139, 140, 142, 145, 148, 149, 151, 154, 155, 156, 158, 160, 161, 166, 167, 168], "100g2": [2, 3, 4, 5, 6, 9, 10, 11, 13, 15], "2x100gbe": [2, 3, 4, 9, 10], "100g0": [2, 4], "disabl": [2, 4, 15, 24, 25, 28, 33, 35, 36, 53, 55, 77, 78, 84, 87, 100, 112, 116, 120, 127, 129, 131, 133, 143, 162], "applic": [2, 4, 13, 17, 20, 22, 23, 25, 26, 32, 55, 93, 126, 135], "remain": [2, 4, 102, 103, 107, 132, 149, 165, 172], "experiment": [2, 4, 78], "featur": [2, 4, 12, 13, 21, 25, 81, 102, 131, 177], "boot": [2, 3, 4, 8, 9, 10, 11, 13, 15, 23, 97], "NO": [2, 3, 4, 6, 7, 27, 164], "jtag": [2, 3, 4, 5, 6, 7, 23, 170], "buld": [2, 3, 4], "abov": [2, 3, 4, 12, 21, 26, 32, 33, 45, 76, 86, 93, 94, 102, 115, 126, 141, 143, 145, 148, 156, 157, 160, 161, 165], "gener": [2, 3, 4, 8, 12, 14, 15, 17, 18, 20, 21, 23, 24, 25, 26, 27, 28, 30, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 50, 55, 61, 62, 64, 65, 66, 67, 68, 71, 75, 77, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 128, 132, 135, 136, 138, 139, 140, 141, 142, 143, 144, 145, 148, 149, 150, 151, 152, 156, 157, 158, 160, 161, 163, 164, 167, 168, 170, 176, 177, 178, 180], "bitstream": [2, 3, 4, 5, 6, 7, 15], "vivado": [2, 3, 4, 9, 10, 14, 15, 32, 142], "gui": [2, 3, 4, 162], "usb": [2, 3, 4, 5, 6, 7], "cabl": [2, 3, 4, 5, 6, 7, 55], "hardwar": [2, 3, 4, 12, 32, 55, 66, 68, 73, 74, 75], "manag": [2, 3, 4, 21, 51, 54, 61, 63, 68, 71, 74, 141, 142, 183], "2022": [2, 4, 13, 15], "manual": [2, 3, 4, 17, 55, 57, 59, 60, 93, 162], "To": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 21, 40, 41, 45, 46, 47, 49, 57, 59, 62, 63, 86, 93, 94, 97, 106, 114, 115, 126, 131, 133, 140, 149, 150], "must": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 19, 21, 23, 24, 25, 28, 32, 34, 35, 36, 40, 42, 44, 45, 47, 51, 59, 76, 77, 78, 79, 81, 86, 90, 91, 93, 97, 100, 101, 102, 103, 106, 107, 108, 109, 110, 111, 113, 115, 116, 119, 120, 121, 122, 123, 124, 125, 128, 131, 132, 133, 134, 138, 140, 141, 143, 145, 151, 154, 155, 156, 157, 162, 164, 165], "have": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 15, 17, 19, 21, 27, 32, 36, 39, 40, 45, 46, 47, 53, 57, 59, 63, 77, 82, 85, 86, 93, 94, 102, 106, 111, 113, 114, 115, 117, 126, 130, 131, 132, 133, 140, 141, 148, 151, 158, 160, 162, 164, 165, 166, 167, 168, 176], "licens": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 15, 131, 133], "been": [2, 3, 4, 13, 32, 40, 41, 45, 46, 47, 61, 71, 82, 85, 88, 102, 126, 131, 132, 135, 140, 141, 148, 151, 158, 162, 164, 176], "properli": [2, 3, 4, 13, 20, 131, 143, 162], "although": [2, 3, 4, 32, 93, 102], "xcu55c": 3, "fsvh2892": 3, "2l": 3, "virtex": [4, 177], "ultrascal": [4, 14, 21, 22, 24, 32, 33, 34, 35, 36, 42, 44, 46, 52, 56, 61, 62, 63, 64, 68, 69, 70, 71, 72, 74, 75, 79, 81, 85, 86, 87, 88, 90, 91, 94, 95, 96, 97, 100, 101, 103, 104, 105, 108, 116, 122, 124, 127, 131, 133, 135, 138, 139, 143, 172, 177, 182], "evalu": [4, 32, 86], "xcvu9p": [4, 10], "flgb2104": [4, 10], "1x": [5, 8], "qsfp": [5, 7, 8, 11, 12, 13, 16, 17, 21, 23, 26, 28, 129], "dd": [5, 7, 8, 16], "agfb014r24b2e2v": 5, "tile": [5, 6, 7, 8, 11, 14, 17, 21, 24, 28, 102, 131, 133, 141], "p": [5, 6, 7, 11, 17, 24, 28, 59, 60, 93, 102, 141, 175], "gen4": [5, 6, 11, 24], "2x100ge": [5, 6, 11], "25g8": [5, 6, 7, 8, 11], "8x25ge": [5, 6, 11, 21], "10g8": [5, 6, 7, 8, 11], "8x10ge": [5, 6, 11, 21], "ye": [5, 8, 9, 10, 11, 14, 164], "version": [5, 8, 11, 12, 13, 14, 15, 60, 93, 103, 133, 134, 177], "17": [5, 15, 53, 139], "intel": [5, 8, 11, 12, 14, 15, 21, 22, 23, 24, 27, 34, 35, 51, 55, 59, 92, 105, 109, 112, 124, 127, 131, 133, 135, 138, 139, 141, 152, 170, 172, 177, 180], "quartu": [5, 6, 7, 8, 11, 13, 14, 15, 32, 55, 142], "prime": [5, 6, 7, 8, 11, 14, 15, 55], "pro": [5, 6, 7, 8, 11, 13, 14, 15], "befor": [5, 12, 19, 20, 21, 24, 32, 35, 38, 45, 46, 47, 50, 78, 85, 89, 93, 94, 97, 101, 102, 106, 111, 113, 115, 124, 131, 133, 140, 141, 148, 151, 158, 160, 162, 164], "flash": [5, 6, 7, 23, 26, 51], "regular": [5, 12], "programm": 5, "after": [5, 6, 7, 13, 15, 17, 21, 27, 32, 33, 45, 46, 47, 51, 54, 55, 59, 60, 62, 71, 73, 74, 80, 84, 85, 86, 89, 91, 93, 94, 97, 101, 109, 111, 113, 115, 131, 132, 140, 150, 151, 162, 163, 180], "complet": [5, 6, 7, 13, 15, 21, 24, 40, 45, 50, 60, 61, 74, 75, 84, 93, 102, 106, 135, 138, 139, 140, 162], "call": [5, 6, 7, 12, 13, 19, 21, 32, 52, 97, 102, 126, 160, 161, 162], "my_bitstream": [5, 6, 7], "sof": [5, 6, 7, 15, 19, 28, 70, 81, 82, 83, 84, 85, 86, 89, 90, 91, 94, 96, 97, 100, 102, 104, 106, 123, 131, 132, 133, 135, 146, 147, 148, 154, 155, 160, 162, 163, 167], "script": [5, 6, 7, 12, 13, 15, 17, 27, 32, 52, 55, 59, 60, 68, 74, 93], "generate_j": 5, "sh": [5, 6, 7], "convert": [5, 6, 7, 12, 21, 22, 24, 49, 51, 93, 109, 110, 114, 115, 123, 125, 131, 132, 133, 135, 140, 141, 142, 148, 152, 158, 160, 161, 176, 180], "two": [5, 12, 19, 20, 21, 24, 27, 32, 34, 35, 36, 45, 49, 63, 65, 76, 79, 81, 82, 83, 85, 86, 88, 89, 90, 93, 94, 95, 96, 97, 98, 99, 102, 103, 106, 107, 108, 112, 113, 115, 116, 119, 121, 123, 126, 131, 132, 135, 140, 143, 144, 145, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 163, 164, 166, 167, 168, 172, 177, 180], "jic": 5, "format": [5, 6, 7, 15, 17, 19, 21, 24, 50, 78, 86, 91, 93, 97, 113, 116, 129, 131, 134, 141, 143, 162, 166], "On": [5, 6, 7, 15, 21, 47, 68, 126, 130, 132, 140, 141, 154, 155, 166], "host": [5, 6, 7, 14, 19, 24, 26, 51, 55, 109, 138, 141], "pc": [5, 6, 7, 17, 21, 23, 24, 26, 28, 131, 133, 138], "where": [5, 6, 7, 12, 13, 15, 16, 17, 19, 22, 32, 34, 36, 37, 49, 51, 55, 62, 70, 74, 85, 86, 87, 93, 97, 101, 102, 106, 120, 128, 132, 133, 140, 143, 162, 164, 167, 168, 176], "write_j": 5, "power": [5, 6, 7, 34, 36, 59, 79, 81, 82, 83, 85, 86, 89, 91, 94, 97, 100, 101, 103, 107, 108, 114, 116, 121, 123, 131, 133], "off": [5, 6, 7, 21, 86, 116, 129, 133, 164], "cycl": [5, 6, 7, 30, 33, 35, 36, 39, 40, 45, 46, 47, 48, 53, 54, 58, 76, 78, 85, 89, 93, 97, 102, 109, 113, 114, 116, 126, 128, 132, 140, 141, 143, 144, 150, 154, 155, 162, 163, 164, 167, 172, 176, 177, 178, 180, 181], "new": [5, 6, 7, 12, 15, 17, 19, 26, 45, 49, 54, 60, 63, 79, 80, 85, 86, 93, 106, 113, 131, 140, 141, 143, 145, 148, 151, 156, 157, 158, 160, 161, 162, 168, 185], "load": [5, 6, 7, 8, 12, 13, 26, 32, 46, 49, 55, 57, 59, 85, 93, 141, 162, 176], "procedur": [5, 6, 7, 12, 32], "dev": [6, 7, 13, 28, 59], "1sdx": [6, 7, 28], "qsfp56": 6, "option": [6, 7, 8, 13, 20, 28, 32, 33, 34, 35, 41, 47, 48, 55, 59, 75, 78, 85, 86, 90, 91, 97, 100, 103, 105, 108, 109, 113, 118, 124, 127, 129, 131, 133, 136, 142, 151, 154, 155], "upi": 6, "guid": [6, 7, 21, 27, 51, 59, 162, 172, 177], "schemat": [6, 7], "1sd280pt2f55e1vg": [6, 32], "bellow": [6, 7, 130], "generate_pof": [6, 7], "pof": [6, 7], "write_pof": [6, 7], "agi027r": [7, 28], "mcio": 7, "agib027r29a1e2vr0": [7, 8], "f": [7, 8, 14, 17, 21, 59, 131, 133], "400g": [7, 8, 93, 102], "gen5": [7, 8, 24], "400g1": [7, 8, 28], "1x400gbe": [7, 8], "200g2": [7, 8], "2x200gbe": [7, 8], "100g4": [7, 8, 10, 12], "4x100gbe": [7, 8, 10], "50g8": [7, 8], "8x50gbe": [7, 8], "40g2": [7, 8], "2x40gbe": [7, 8], "8x25gbe": [7, 8], "8x10gbe": [7, 8], "cooper": [8, 162], "hsi": 8, "agib027r29a1e2vr3": 8, "exist": [8, 13, 21, 23, 32, 86, 125, 148, 162], "multipl": [8, 12, 16, 17, 19, 20, 21, 24, 26, 32, 35, 36, 38, 40, 44, 45, 47, 49, 51, 56, 59, 69, 73, 74, 75, 81, 85, 86, 89, 93, 94, 98, 102, 109, 113, 114, 115, 123, 126, 140, 141, 142, 143, 151, 162, 167, 172, 176, 177, 178, 181], "board_rev": [8, 15], "correct": [8, 15, 24, 28, 34, 35, 42, 44, 46, 64, 108, 114, 131, 134, 135, 136, 138, 162, 165], "select": [8, 12, 13, 15, 16, 17, 19, 21, 26, 28, 32, 34, 35, 39, 42, 44, 45, 53, 57, 59, 60, 64, 77, 80, 81, 95, 96, 97, 100, 103, 105, 108, 116, 117, 118, 122, 127, 131, 133, 135, 138, 143, 145, 156, 157, 162, 164, 172], "paramet": [8, 13, 17, 19, 20, 21, 24, 27, 28, 32, 34, 42, 44, 48, 53, 59, 60, 64, 65, 67, 69, 72, 76, 85, 89, 92, 93, 94, 103, 105, 107, 108, 111, 113, 116, 121, 123, 132, 133, 135, 138, 144, 145, 148, 151, 154, 155, 156, 157, 158, 160, 161, 162, 163, 164, 165, 166, 168], "valu": [8, 13, 14, 15, 17, 19, 20, 24, 27, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 44, 45, 46, 48, 49, 50, 53, 54, 59, 64, 66, 68, 75, 76, 78, 80, 82, 84, 85, 86, 88, 91, 92, 93, 94, 95, 97, 100, 101, 102, 103, 105, 109, 113, 114, 115, 116, 117, 122, 123, 125, 128, 131, 132, 133, 134, 135, 138, 139, 140, 143, 146, 147, 150, 151, 162, 164, 165, 167, 168, 176, 181], "first": [8, 12, 13, 15, 17, 19, 21, 24, 25, 27, 32, 34, 35, 36, 45, 46, 47, 50, 52, 57, 58, 59, 60, 74, 76, 84, 85, 86, 91, 93, 94, 97, 101, 102, 103, 113, 115, 126, 131, 132, 133, 135, 136, 137, 139, 146, 147, 148, 150, 151, 154, 155, 160, 162, 164, 165, 166, 168, 176, 180], "prototyp": 8, "second": [8, 12, 13, 19, 21, 25, 46, 50, 64, 85, 93, 94, 102, 113, 115, 126, 132, 142, 143, 148, 150, 151, 160, 162, 165, 168, 172], "sever": [8, 17, 19, 20, 26, 32, 93, 123, 131, 133], "switchabl": 8, "loopback": [8, 15, 17, 20, 21, 77, 129, 178], "usual": [8, 32, 75, 113, 132, 162], "gen": [8, 17, 20, 59, 88, 133, 169, 178, 179], "loop": [8, 17, 20, 40, 93, 125, 162, 178], "switch": [8, 15, 17, 20, 45, 47, 55, 88, 89, 93, 95, 121, 131, 162, 178], "gl": [8, 20, 23, 178], "A": [8, 12, 13, 14, 17, 19, 21, 23, 24, 34, 35, 40, 44, 45, 46, 49, 54, 69, 76, 85, 91, 93, 102, 132, 140, 148, 151, 157, 158, 160, 161, 162, 164, 183], "simplifi": [8, 24, 26, 85, 93, 102, 126, 129, 132, 145, 148, 156, 157, 158, 160, 161, 162], "capabl": [8, 13, 24, 35, 55, 87, 134], "found": [8, 12, 13, 15, 23, 30, 52, 70, 84, 102, 131, 162, 172, 176, 180], "prerequisit": [8, 32], "linux": [8, 14, 15], "server": [8, 55], "driver": [8, 13, 14, 15, 55, 59, 66, 144, 145, 146, 147, 150, 152, 156, 157, 163, 164, 167], "mi_debug": 8, "warn": [8, 36, 45, 94, 101, 162], "bottom": [8, 86], "readm": [8, 14, 15, 55], "pytest": 8, "pip3": 8, "depend": [8, 14, 19, 21, 22, 32, 40, 45, 47, 49, 55, 63, 93, 94, 105, 114, 115, 124, 126, 127, 131, 140, 141, 146, 147, 150, 154, 155, 162, 164, 166], "html": [8, 162], "themselv": [8, 40], "written": [8, 28, 34, 35, 36, 42, 44, 58, 60, 71, 72, 85, 93, 102, 104, 111, 113, 131, 162, 164, 185], "possibl": [8, 12, 13, 14, 17, 19, 27, 40, 44, 45, 46, 47, 53, 75, 76, 85, 87, 93, 98, 101, 109, 111, 113, 115, 123, 131, 133, 140, 148, 151, 158, 160, 161, 162, 168, 172], "test_pci": 8, "self": [8, 129], "bt": 8, "take": [8, 12, 13, 16, 19, 21, 35, 45, 47, 68, 74, 85, 90, 93, 101, 113, 114, 115, 126, 129, 132, 141, 146, 147, 149, 150, 162], "approxim": 8, "14": [8, 53, 55, 73, 74, 75, 79, 80, 81, 86, 100], "minut": 8, "displai": [8, 19, 21, 85, 151], "result": [8, 21, 33, 39, 40, 45, 46, 55, 59, 93, 100, 102, 129, 140, 151, 162, 180], "remov": [8, 13, 14, 21, 36, 38, 41, 47, 55, 77, 94, 106, 131, 176, 180], "sudo": [8, 15, 55, 59], "modprob": 8, "add": [8, 12, 19, 21, 37, 39, 40, 49, 53, 55, 61, 76, 94, 143, 149, 162, 164, 168, 176], "flag": [8, 19, 21, 24, 25, 32, 35, 36, 50, 53, 60, 81, 82, 83, 84, 93, 99, 107, 118, 129, 130, 131, 133, 134, 135], "xcku15p": 9, "ffve1760": 9, "variant": [10, 13, 15, 21, 24, 30, 88, 131, 133, 135, 169, 176, 177], "fb2cgg3": 10, "4x": 10, "agfb014r24a2e2v": 11, "18": [11, 46], "pacsign": 11, "three": [12, 21, 22, 55, 68, 88, 93, 102, 104, 113, 116, 126, 144, 148, 151, 154, 155, 158, 160, 161, 162, 164, 166, 167, 168], "abrevi": 12, "via": [12, 17, 19, 21, 23, 24, 26, 28, 32, 53, 55, 57, 59, 93, 97, 102, 126, 129, 143, 180, 183], "link": [12, 13, 15, 17, 19, 21, 24, 28, 76, 129, 131, 133, 154, 155], "core_root_directori": 12, "some": [12, 15, 17, 21, 24, 26, 32, 36, 39, 40, 41, 47, 48, 49, 59, 73, 74, 78, 85, 93, 94, 102, 113, 115, 124, 126, 129, 131, 141, 145, 156, 157, 162, 167, 176, 180], "info": [12, 15, 21, 37, 45, 55, 104, 131, 162], "own": [12, 14, 17, 19, 21, 24, 45, 47, 62, 73, 77, 86, 97, 120, 132, 140, 141, 145, 146, 147, 151, 154, 155, 156, 157, 162, 166], "hierarchi": 12, "shown": [12, 19, 20, 21, 24, 27, 102, 113, 115, 126, 132, 141, 162], "section": [12, 19, 21, 45, 51, 93, 100, 109, 126, 129, 162, 168], "page": [12, 76, 102, 126, 162, 171], "order": [12, 27, 32, 35, 36, 38, 40, 45, 47, 49, 50, 51, 59, 71, 76, 93, 94, 97, 100, 113, 119, 121, 125, 129, 132, 139, 140, 151, 161, 162, 180], "place": [12, 36, 47, 59, 94, 126, 129, 131, 132, 162, 166, 180], "core_boostrap": 12, "list": [12, 14, 17, 21, 24, 27, 30, 55, 60, 145, 156, 157, 162, 168], "chang": [12, 13, 14, 15, 27, 42, 45, 46, 57, 78, 85, 90, 93, 94, 98, 113, 114, 115, 116, 131, 132, 133, 135, 138, 141, 148, 150, 151, 158, 160, 161, 162, 167, 168], "comment": [12, 13, 162], "mean": [12, 19, 21, 24, 45, 46, 50, 61, 76, 85, 86, 93, 94, 102, 111, 113, 114, 115, 124, 126, 143, 162, 163, 164, 168, 176], "becaus": [12, 13, 21, 27, 32, 40, 47, 48, 59, 61, 67, 85, 93, 94, 106, 113, 115, 132, 145, 156, 157, 162, 165], "underli": 12, "platform": [12, 13, 15, 16, 17, 21, 26], "g": [12, 18, 19, 32, 40, 41, 46, 47, 55, 93, 94, 97, 109, 114, 132, 168], "mani": [12, 22, 46, 50, 53, 68, 74, 105, 113, 115, 127, 141, 149, 162, 176], "combin": [12, 19, 40, 113, 115, 120, 133, 142, 168], "howev": [12, 13, 14, 17, 21, 35, 40, 46, 59, 85, 86, 93, 102, 113, 123, 132, 157, 162], "find": [12, 15, 19, 24, 59, 148, 158, 160, 161, 162], "unsupport": [12, 24], "caus": [12, 45, 49, 93, 94, 113, 131, 132, 133], "dure": [12, 27, 32, 46, 54, 59, 76, 85, 86, 93, 126, 133, 162], "synthesi": [12, 15, 176], "should": [12, 13, 20, 21, 32, 35, 45, 47, 48, 51, 52, 53, 54, 58, 59, 60, 64, 76, 89, 93, 101, 106, 113, 115, 131, 143, 151, 162, 164, 185], "deliber": 12, "thei": [12, 13, 17, 19, 21, 45, 47, 49, 65, 84, 85, 86, 97, 101, 102, 113, 115, 119, 120, 124, 140, 148, 149, 151, 158, 160, 161, 162, 165, 176, 180], "purpos": [12, 17, 21, 24, 32, 47, 59, 65, 84, 102, 132, 134, 135, 139, 140, 141, 149, 151, 162, 167, 168, 176], "specifi": [12, 13, 32, 33, 40, 46, 47, 50, 52, 54, 67, 81, 82, 83, 85, 86, 100, 102, 106, 115, 126, 131, 146, 147, 151, 162, 166, 167, 185], "visibl": [12, 85], "chosen": [12, 35, 70], "when": [12, 13, 17, 19, 21, 27, 32, 33, 34, 35, 36, 37, 38, 40, 41, 42, 44, 45, 46, 47, 48, 49, 53, 54, 55, 57, 59, 61, 64, 69, 73, 76, 77, 79, 83, 84, 85, 86, 87, 88, 89, 90, 91, 93, 94, 97, 99, 101, 102, 104, 105, 106, 108, 109, 113, 114, 115, 117, 120, 121, 124, 125, 126, 127, 131, 132, 133, 134, 140, 141, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 160, 162, 164, 165, 166, 167, 176], "anoth": [12, 13, 16, 32, 46, 47, 49, 59, 86, 94, 97, 102, 106, 113, 115, 132, 143, 151, 158, 160, 162, 168, 177, 180], "condition": 12, "assign": [12, 19, 27, 45, 47, 115, 131, 140, 141, 165, 180], "locat": [12, 21, 31, 32, 55, 86, 162, 168, 169, 170, 172, 173, 174, 177, 178, 179, 181, 182, 183], "correspond": [12, 14, 16, 17, 19, 20, 21, 36, 45, 49, 55, 86, 93, 97, 102, 129, 132, 140, 141, 165], "condit": [12, 24, 93, 123, 131, 132, 162], "set": [12, 14, 15, 17, 19, 21, 24, 27, 28, 32, 33, 34, 35, 36, 37, 38, 40, 41, 45, 46, 47, 48, 49, 50, 51, 53, 54, 55, 57, 59, 60, 62, 63, 64, 65, 67, 73, 74, 75, 76, 77, 78, 79, 83, 85, 87, 88, 89, 90, 93, 97, 100, 101, 102, 103, 106, 107, 111, 112, 113, 116, 123, 124, 125, 126, 130, 131, 132, 133, 134, 135, 140, 141, 143, 144, 145, 146, 147, 148, 149, 150, 151, 156, 157, 158, 160, 161, 162, 163, 164, 165, 167, 168, 172, 176, 177], "pcie_lan": [12, 24], "pcie_endpoint": [12, 19, 24], "pcie_endpoint_mod": [12, 24], "third": [12, 19, 21, 50, 64, 102, 113, 115, 162, 168], "statement": 12, "check": [12, 21, 27, 28, 35, 39, 47, 51, 55, 85, 89, 94, 111, 113, 131, 133, 140, 141, 154, 155, 162, 164, 165, 180], "compat": [12, 13, 15, 40, 59, 60, 92, 180], "incompat": 12, "detect": [12, 17, 30, 40, 45, 57, 59, 93, 129, 140, 143, 172, 176, 180], "shell": 12, "rais": [12, 94], "stop": [12, 19, 47, 63, 64, 71, 73, 74, 78, 93, 106, 132, 162], "compil": [12, 13, 20, 24, 32, 162], "freeli": 12, "necessari": [12, 13, 14, 19, 24, 28, 32, 33, 93, 113, 126, 131, 133, 151, 162, 168], "variabl": [12, 40, 59, 144, 145, 148, 156, 157, 158, 160, 161, 162, 163, 164, 165, 167, 176], "environ": [12, 32, 35, 144, 145, 150, 152, 156, 157, 163, 168, 185], "lowest": [12, 40, 51, 75, 76, 77, 78, 102, 114, 115, 126], "prioriti": [12, 40, 54], "ones": [12, 55, 76, 115, 132, 137, 162, 167, 168, 176], "highest": [12, 44, 78, 102, 115, 126, 143, 162], "last": [12, 21, 27, 32, 36, 47, 50, 52, 58, 76, 84, 85, 86, 93, 102, 106, 111, 113, 115, 132, 135, 136, 137, 139, 140, 145, 146, 147, 149, 150, 154, 155, 156, 157, 162, 165, 166, 167, 168, 172, 176], "often": [12, 22, 32, 35, 36, 93, 102, 113, 126, 132, 162], "mechan": [12, 13, 30, 32, 45, 76, 93, 126, 134, 162, 167], "affect": [12, 34, 45, 66, 68, 75, 90, 91, 93], "final": [12, 33, 81, 97, 102, 113, 115, 162, 168], "As": [12, 19, 21, 93, 102, 113, 115, 131, 133, 149], "system": [12, 15, 21, 25, 51, 69, 134], "modular": [12, 131, 133], "hierarch": 12, "organ": [12, 102], "archgrp": [12, 32], "across": [12, 46, 85], "parent": [12, 13, 134, 148, 151, 158, 160, 161, 162], "adjust": [12, 37, 85, 93, 101, 162], "descend": 12, "core_archgrp": 12, "associ": [12, 14, 24, 88, 95, 115, 135, 162], "arrai": [12, 49, 99, 140, 148, 152, 158, 160, 162, 165, 168, 176, 177], "initi": [12, 23, 53, 66, 162], "common": [12, 32, 33, 44, 45, 52, 53, 59, 76, 85, 88, 95, 109, 111, 112, 115, 120, 129, 152, 185], "inc": [12, 32, 162], "clariti": 12, "declar": [12, 27, 32, 76, 94, 102, 126], "entiti": [12, 19, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 46, 48, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 142, 143, 151], "back": [12, 17, 20, 21, 24, 32, 47, 93, 97, 101, 106, 162, 165], "dynam": [12, 14, 21, 27, 32, 140, 141], "combo_user_const": 12, "were": [12, 35, 55, 85, 113, 131, 133, 151, 162], "previous": [12, 47, 93, 94, 113, 124, 132, 140, 141], "vhdlpkggen": 12, "folder": [12, 15, 32, 53, 55, 59, 60, 168, 172, 177, 180], "vhdlpkgstring": 12, "fancy_str": 12, "vhdlpkgint": 12, "some_integ": 12, "vhdlpkgbool": 12, "some_boolean": 12, "important_boolean": 12, "true": [12, 28, 32, 33, 34, 36, 37, 39, 42, 44, 45, 53, 54, 55, 75, 77, 78, 84, 85, 87, 88, 89, 92, 95, 97, 99, 101, 103, 104, 108, 115, 116, 117, 118, 120, 121, 124, 125, 128, 131, 133, 138, 143, 162], "vhdlpkghexvector": 12, "large_vector": 12, "64": [12, 17, 19, 24, 25, 28, 34, 35, 37, 38, 39, 40, 43, 44, 46, 52, 59, 62, 63, 64, 66, 68, 73, 74, 75, 76, 78, 89, 91, 94, 97, 101, 103, 104, 105, 110, 117, 122, 124, 127, 131, 139, 142, 145, 156, 157], "abcdef0123456789": 12, "recommend": [12, 15, 17, 19, 21, 28, 32, 33, 35, 113, 149, 162], "structur": [12, 13, 32, 162, 168, 172, 176, 177], "similar": [12, 72, 113, 129, 132, 168, 172], "relev": [12, 46], "those": [12, 32, 39, 40, 75, 102, 113, 131, 132, 133, 145, 146, 147, 156, 162, 165], "mostli": [12, 32, 102], "ti": [12, 131], "like": [12, 16, 17, 21, 32, 39, 40, 53, 78, 102, 113, 115, 132, 134, 141, 148, 157, 158, 160, 161, 162, 164, 168], "differ": [12, 16, 20, 21, 22, 32, 33, 40, 45, 46, 49, 53, 55, 59, 72, 76, 93, 94, 101, 113, 114, 116, 119, 123, 131, 132, 133, 135, 140, 141, 143, 145, 149, 151, 156, 157, 162, 164, 165, 168, 169], "higher": [12, 14, 45, 46, 78, 85, 113, 115, 123, 132, 143, 145, 156, 157, 162, 176], "ensur": [12, 13, 19, 81, 93, 108, 141], "done": [12, 19, 40, 45, 46, 53, 55, 59, 73, 86, 93, 94, 114, 122, 131, 132, 140, 141, 151, 162, 167], "here": [12, 13, 15, 21, 27, 30, 36, 40, 45, 46, 47, 49, 85, 93, 97, 102, 114, 131, 132, 154, 155, 168, 170, 172, 176, 180], "consid": [12, 13, 17, 21, 45, 47, 77, 78, 102, 115, 125, 126, 162], "transceiv": [12, 13, 21, 129], "most": [12, 24, 32, 35, 47, 60, 85, 93, 94, 102, 114, 115, 120, 126, 131, 162, 168], "either": [12, 32, 57, 59, 61, 82, 85, 86, 93, 94, 102, 113], "vhd": [12, 13, 23, 32, 39, 59, 162], "too": [12, 45, 101, 162], "stage": [12, 32, 39, 47, 86, 96, 106, 112], "major": [12, 14, 102, 113, 132, 145, 151, 156, 157, 167], "variou": [12, 14, 75, 131, 132, 133, 134, 162, 172], "built": [12, 15, 55, 118], "There": [12, 13, 17, 19, 21, 24, 27, 32, 34, 35, 40, 51, 53, 59, 67, 68, 74, 76, 88, 91, 93, 97, 98, 113, 115, 118, 120, 131, 132, 133, 141, 146, 147, 148, 149, 150, 151, 154, 155, 160, 161, 162, 163, 164, 165, 166, 168, 176], "veri": [12, 22, 32, 45, 94, 97, 113, 148, 158, 160, 161, 162], "card_archgrp": 12, "card_root_directori": 12, "src": [12, 23, 78, 116, 125, 162], "concaten": [12, 86, 119], "share": [12, 32, 76, 94, 99, 176], "both": [12, 14, 19, 21, 27, 33, 34, 35, 40, 41, 45, 47, 52, 54, 75, 77, 87, 93, 94, 102, 106, 108, 109, 113, 114, 115, 119, 124, 126, 129, 132, 139, 141, 142, 148, 158, 160, 161, 162, 164, 165], "hand": [12, 102, 126, 140, 141], "subcompon": [12, 13, 21, 23, 32, 77, 133, 162], "application_cor": [12, 19], "choos": [12, 33, 35, 115, 148, 150, 158, 160, 161], "sometim": [12, 21, 32, 46, 47, 132, 162], "launch": [12, 32], "less": [12, 34, 35, 55, 81, 93, 113, 115, 131, 133, 177], "constrain": 12, "about": [12, 13, 15, 19, 21, 24, 32, 40, 45, 51, 52, 53, 64, 70, 103, 104, 105, 106, 115, 131, 135, 149, 162], "visit": [12, 162], "instruct": [12, 15, 20, 21, 32, 45, 62, 63, 77, 101], "_const": 12, "_conf": 12, "throughout": [12, 19, 23], "issu": [12, 40, 55, 71, 97, 113, 162], "goe": [12, 21, 28, 32, 115, 132], "dma_typ": [12, 15, 20], "architectur": [12, 15, 20, 21, 22, 24, 28, 32, 35, 36, 40, 78, 88, 105, 124, 127, 140, 162, 172, 177], "logic": [12, 19, 20, 24, 31, 33, 36, 40, 47, 55, 57, 59, 66, 68, 71, 74, 75, 76, 87, 90, 93, 94, 101, 106, 108, 115, 118, 120, 125, 129, 133, 140, 143, 144, 148, 149, 151, 152, 154, 155, 158, 160, 162, 163, 164, 165, 167, 172, 180], "app_archgrp": 12, "well": [12, 13, 21, 22, 32, 37, 45, 47, 71, 74, 75, 77, 84, 102, 106, 113, 114, 115, 162], "recip": 12, "achiev": [12, 21, 33, 45, 121, 162], "goal": [12, 93], "stai": [12, 53, 78, 85, 89, 102, 106, 113, 115, 116], "application_core_entity_onli": 12, "fals": [12, 24, 28, 32, 35, 36, 37, 42, 44, 45, 46, 53, 54, 59, 68, 77, 85, 87, 88, 90, 92, 97, 99, 100, 101, 104, 112, 115, 116, 117, 119, 120, 124, 125, 127, 131, 133, 135, 136, 143], "custom": [12, 13, 53, 164, 168], "code_archgrp": 12, "my_param_1": 12, "my_param_2": 12, "thu": [12, 24, 45, 46, 47, 51, 94, 102, 109], "my_param": 12, "propag": [12, 32, 40, 42, 44, 45, 46, 47, 49, 75, 86, 90, 100, 101, 106, 114, 140, 141], "fpga_common": [12, 13], "notic": [12, 85, 93, 113, 132], "alreadi": [12, 13, 15, 32, 47, 55, 71, 85, 132, 141], "element": [12, 31, 171], "snippet": 12, "lappend": [12, 32, 162], "entity_name_1": 12, "path_to_entity_1": 12, "full": [12, 17, 28, 32, 35, 36, 47, 59, 68, 74, 88, 91, 93, 94, 97, 106, 107, 141, 162, 172, 180], "field": [12, 45, 86, 93, 131, 135, 145, 156, 157, 175], "entity_name_2": 12, "path_to_entity_2": 12, "archgrp_arr": 12, "thing": [12, 17, 85, 86, 97, 102, 106, 162, 167], "elseif": [12, 32], "overwritten": [12, 32, 101, 106], "commentari": 12, "especi": [12, 13, 17], "els": [12, 33, 113, 115, 116, 133, 148, 151, 157, 160, 161, 162], "avoid": [12, 19, 21, 40, 47, 51, 85, 93, 97, 111], "crash": 12, "unsuccess": 12, "iclud": 12, "pcie_gen": 12, "dma_rx_blocking_mod": 12, "directli": [12, 13, 17, 21, 24, 32, 42, 44, 47, 49, 52, 55, 85, 93, 109, 115, 129, 143, 162], "requier": 12, "belong": [12, 21, 102, 115], "adher": [12, 61, 132, 162], "respect": [12, 32, 35, 40, 51, 70, 85, 94, 113, 115, 126, 132], "suggest": 12, "regard": [12, 45], "miss": 12, "unansw": 12, "question": 12, "valekv": 12, "cz": 12, "we": [13, 15, 16, 17, 19, 21, 24, 40, 43, 46, 49, 79, 93, 94, 106, 113, 115, 162], "content": [13, 54, 64, 67, 70, 128, 131, 132, 133, 165, 177], "util": [13, 15, 21, 46, 113], "softwar": [13, 14, 19, 21, 23, 51, 55, 59, 68, 71, 74, 75, 93, 113, 129, 131, 133, 134, 143], "certain": [13, 46, 85, 115, 180], "extent": 13, "commun": [13, 19, 20, 22, 23, 24, 26, 55, 58, 102, 126, 138, 141, 144, 154, 155, 162, 163, 164, 183], "hw": [13, 17, 62, 63, 64, 68], "close": [13, 20, 46, 183], "insid": [13, 17, 24, 32, 45, 49, 54, 57, 59, 60, 86, 102, 106, 126, 131, 132, 133, 151, 162, 180], "translat": [13, 23, 32, 50, 55], "insert": [13, 19, 21, 32, 39, 62, 63, 77, 79, 86, 87, 90, 100, 101, 129, 131, 133, 141, 168], "form": [13, 16, 32, 33, 53, 85, 93, 94, 98, 102, 115, 129, 132, 134], "current": [13, 14, 20, 21, 22, 24, 32, 34, 36, 39, 42, 45, 47, 50, 55, 57, 59, 60, 64, 70, 71, 74, 80, 84, 85, 86, 88, 89, 91, 93, 94, 97, 102, 103, 104, 106, 109, 111, 113, 126, 131, 132, 133, 138, 140, 141, 149, 162, 164, 177], "revis": [13, 15], "author": [13, 15], "function": [13, 21, 24, 32, 33, 35, 39, 50, 51, 75, 91, 93, 101, 129, 132, 138, 139, 145, 148, 150, 151, 156, 157, 158, 160, 161, 164, 165, 167, 176], "dts_build_project": 13, "dts_build_netcop": 13, "project": [13, 15, 18, 32, 113], "typic": [13, 14, 16, 19, 31, 32, 50, 134, 162, 169, 170, 173, 174, 178, 179, 181, 182, 183], "eg": [13, 124], "type": [13, 16, 19, 21, 22, 24, 27, 28, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 48, 50, 52, 53, 54, 56, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 107, 108, 109, 110, 111, 112, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 141, 142, 143, 145, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 166, 172, 177], "itself": [13, 21, 32, 40, 47, 75, 140], "instanc": [13, 21, 24, 32, 36, 55, 59, 149, 162, 165, 168], "dts_boot_control": 13, "dts_dma_modul": 13, "dts_applic": 13, "pass": [13, 17, 21, 32, 45, 46, 47, 49, 97, 129, 131, 140, 162, 180], "vhdl": [13, 23, 24, 32, 40, 134], "creat": [13, 14, 15, 18, 19, 21, 32, 45, 55, 62, 66, 77, 86, 88, 94, 95, 102, 112, 126, 141, 145, 148, 149, 151, 154, 155, 156, 157, 158, 160, 161, 164, 165, 166, 168, 176], "std_logic_vector": [13, 19, 24, 28, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 46, 48, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 142, 143], "dtb_data": 13, "binari": [13, 32, 46, 102, 115, 176], "represent": [13, 35, 85], "dtc": [13, 15], "compress": [13, 134], "xz": [13, 134], "input": [13, 19, 20, 21, 28, 32, 33, 35, 36, 37, 38, 39, 40, 41, 42, 44, 45, 47, 48, 49, 53, 54, 61, 64, 66, 67, 68, 69, 71, 72, 73, 74, 75, 76, 77, 79, 81, 82, 83, 84, 85, 87, 88, 89, 90, 91, 92, 93, 94, 98, 99, 100, 101, 104, 109, 111, 112, 115, 116, 118, 119, 120, 121, 122, 123, 125, 128, 130, 131, 132, 133, 137, 139, 141, 142, 143, 149, 151, 172, 176, 180], "pci_ext_cap": [13, 24, 183], "next": [13, 32, 40, 46, 47, 59, 78, 84, 85, 86, 88, 91, 93, 101, 102, 106, 113, 115, 121, 125, 126, 132, 134, 149, 154, 155, 162, 167], "constant": [13, 17, 48, 62, 75, 86, 93, 102, 109, 115, 126, 131, 133, 176], "cannot": [13, 36, 40, 47, 85, 94, 106, 130, 133, 141, 149, 162, 164, 167, 176], "user_const": [13, 32], "consist": [13, 20, 26, 46, 55, 69, 86, 93, 94, 96, 101, 106, 115, 126, 132, 140, 141, 162], "need": [13, 27, 32, 36, 40, 46, 47, 48, 50, 52, 53, 55, 59, 60, 67, 73, 79, 85, 93, 94, 102, 115, 124, 125, 132, 133, 134, 141, 149, 162, 176, 180], "blob": [13, 134], "pci": [13, 15, 24, 50, 71, 74, 75, 113, 134, 135, 137], "extens": [13, 24, 32, 79, 134, 164], "vsec": [13, 24, 134], "extend": [13, 24, 81, 85, 86, 93, 101, 129, 134, 148, 151, 158, 160, 161, 162, 176, 178], "rel": [13, 32], "small": [13, 47, 86, 102, 132, 151, 162, 177, 180], "few": [13, 27, 32, 34, 35, 36, 59, 80, 86, 93, 94, 103, 128, 168], "regist": [13, 15, 21, 23, 24, 26, 31, 33, 34, 36, 37, 39, 40, 42, 44, 45, 46, 47, 49, 51, 53, 55, 57, 58, 59, 60, 66, 68, 73, 75, 77, 78, 85, 88, 93, 95, 97, 103, 111, 112, 113, 115, 116, 118, 119, 120, 124, 128, 129, 132, 134, 136, 140, 142, 143, 162, 172, 176, 177, 180], "store": [13, 17, 32, 34, 35, 36, 39, 40, 45, 46, 47, 49, 54, 62, 72, 74, 85, 91, 93, 103, 106, 113, 131, 133, 134, 140, 141, 146, 147, 162, 176, 180], "bram": [13, 34, 35, 54, 72, 103, 105, 106, 108, 124, 127, 128, 172, 177], "mi": [13, 17, 19, 20, 21, 24, 26, 28, 46, 51, 52, 55, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 143, 152, 162, 180, 183], "accident": 13, "design": [13, 15, 19, 21, 23, 27, 35, 40, 41, 44, 55, 62, 66, 70, 74, 75, 76, 102, 126, 129, 132, 141, 162, 180], "nfb0": [13, 59], "ref_nam": 13, "popul": 13, "empti": [13, 32, 35, 36, 47, 79, 102, 146, 147, 167, 172], "my_comp": 13, "dts_my_comp": 13, "reg": [13, 53, 85, 92, 112, 140], "indic": [13, 27, 34, 59, 70, 84, 86, 93, 102, 111, 113, 115, 126, 135, 142, 162], "intern": [13, 17, 19, 20, 36, 40, 45, 47, 55, 72, 73, 74, 75, 77, 87, 93, 97, 102, 106, 118, 126, 132, 148, 158, 160, 162], "size": [13, 17, 19, 24, 28, 35, 37, 38, 45, 46, 47, 50, 62, 63, 64, 66, 68, 70, 73, 74, 75, 77, 79, 81, 82, 86, 87, 88, 90, 91, 92, 93, 94, 95, 97, 98, 100, 101, 104, 105, 131, 133, 134, 136, 138, 145, 148, 151, 156, 157, 158, 160, 162, 164, 167, 168, 180], "submodul": [13, 131, 133, 183], "bound": 13, "string": [13, 19, 24, 28, 32, 33, 34, 35, 36, 38, 39, 42, 43, 44, 46, 52, 56, 59, 61, 62, 63, 64, 66, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 85, 86, 87, 88, 90, 91, 92, 93, 94, 95, 96, 97, 100, 101, 103, 104, 105, 107, 108, 109, 110, 112, 115, 116, 117, 119, 121, 122, 123, 124, 125, 127, 128, 131, 133, 135, 138, 139, 143, 148, 151, 158, 160, 161, 162], "node": [13, 15], "subnod": 13, "mandatori": [13, 32, 86], "item": [13, 19, 21, 34, 35, 36, 40, 42, 43, 44, 45, 46, 50, 55, 77, 78, 79, 80, 81, 82, 86, 87, 88, 89, 90, 91, 93, 94, 95, 96, 97, 99, 100, 101, 102, 103, 104, 105, 113, 115, 116, 117, 118, 120, 121, 122, 123, 124, 125, 126, 127, 128, 131, 133, 135, 138, 151, 152, 161, 162, 163, 164, 181], "work": [13, 14, 17, 19, 21, 32, 36, 40, 45, 47, 51, 52, 53, 54, 56, 62, 69, 78, 93, 94, 106, 113, 115, 116, 120, 131, 133, 141, 148, 151, 158, 160, 164, 167], "without": [13, 14, 17, 19, 20, 21, 24, 34, 47, 55, 76, 85, 86, 90, 102, 103, 108, 124, 126, 131, 132, 133, 135, 139, 149, 162, 176], "term": [13, 15, 16, 126], "addit": [13, 21, 24, 32, 37, 76, 108, 176, 177], "larg": [13, 32, 46, 47, 55, 89, 93, 132, 162], "possibli": [13, 102], "base_address": 13, "0x40": [13, 58, 131], "0x00010004": 13, "reduc": [13, 47, 53, 59, 94, 114], "v1": 13, "22": [13, 15, 59, 131], "94": [13, 15], "07": [13, 15], "sc": [13, 15, 162], "edit": [13, 15, 57, 59], "repli": [13, 15, 164], "liberout": [13, 15, 154, 155], "org": [13, 15, 154, 155], "95415f0": 13, "0x65c33529": 13, "n6010": [13, 15], "ndk_minim": [13, 15], "mi0": 13, "cell": 13, "0x01": [13, 78, 131, 133], "resourc": [13, 40, 47, 53, 59, 86, 88, 94, 115, 123, 124, 125, 131, 172, 176, 177], "pci0": 13, "bar0": [13, 24, 138], "width": [13, 15, 19, 21, 24, 25, 33, 34, 35, 36, 37, 38, 39, 41, 42, 44, 45, 46, 49, 52, 53, 54, 55, 56, 57, 58, 59, 62, 63, 64, 66, 68, 72, 73, 74, 75, 76, 77, 78, 79, 81, 82, 86, 88, 90, 91, 93, 95, 96, 97, 100, 101, 102, 103, 104, 105, 108, 109, 110, 111, 113, 114, 115, 116, 118, 119, 120, 121, 122, 123, 124, 125, 127, 131, 133, 135, 138, 139, 141, 143, 150, 154, 155, 162, 164, 165, 166, 172, 176], "0x20": [13, 58, 78, 131, 133, 143], "0x2000": [13, 21], "0x1000": [13, 55], "0x00": [13, 57, 58, 78, 87, 93, 97, 109, 111, 131, 133, 134, 143], "0x100": [13, 77], "0x4000": [13, 55], "dma_params_rx0": 13, "frame_size_max": 13, "0x3fff": 13, "frame_size_min": 13, "0x3c": [13, 58, 131], "phandl": 13, "dma_params_tx0": 13, "0x02": [13, 131, 133], "0x1000000": 13, "0x80": [13, 131], "0x20000": 13, "param": [13, 162], "0x1000080": 13, "0x1000100": 13, "0x1000180": 13, "0x1000200": 13, "0x1000280": 13, "0x1000300": 13, "0x1000380": 13, "0x1000400": 13, "0x1000480": 13, "0x1000500": 13, "0x1000580": 13, "0x1000600": 13, "0x1000680": 13, "0x1000700": 13, "0x1000780": 13, "0x1200000": 13, "0x1200080": 13, "0x1200100": 13, "0x1200180": 13, "0x1200200": 13, "0x1200280": 13, "0x1200300": 13, "0x1200380": 13, "0x1200400": 13, "0x1200480": 13, "0x1200500": 13, "0x1200580": 13, "0x1200600": 13, "0x1200680": 13, "0x1200700": 13, "0x1200780": 13, "0x3010": 13, "0x08": [13, 57, 58, 78, 93, 97, 111, 131, 133, 134, 143], "0x04": [13, 57, 58, 78, 87, 93, 97, 109, 111, 131, 133, 134, 143], "0x301c": 13, "0x10000": [13, 129], "0x03": [13, 109, 131], "pmd0": 13, "statu": [13, 19, 23, 24, 26, 28, 35, 51, 53, 59, 66, 73, 77, 85, 93, 105, 111, 127, 129, 131, 133, 138, 139, 162, 172], "addr": [13, 59, 60, 62, 64, 68, 71, 113, 114, 133, 162, 164, 168], "0xa0": 13, "0x3110": 13, "0x06": 13, "0x311c": 13, "0x05": 13, "pmd1": 13, "0x0d": 13, "0x800000": 13, "0x40000": 13, "0x07": [13, 109], "pcspma0": 13, "0x09": 13, "e_til": [13, 28], "tx_mac_lit": [13, 21, 133], "speed": [13, 14, 15, 16, 17, 21, 26, 27, 28, 68, 74, 77, 85, 93, 97, 121, 129, 130, 131, 133, 141, 149, 162, 168, 176], "100": [13, 14, 16, 20, 21, 24, 26, 28, 45, 130, 131, 133, 142, 143, 148, 158, 160, 162, 164], "0x8000": 13, "0x200": [13, 21], "mtu": [13, 19, 77, 131], "0x0b": 13, "rx_mac_lit": [13, 21, 32, 131], "0x8200": 13, "0x0a": 13, "eth0": 13, "pmd": [13, 21, 23, 28, 129], "pcspma": 13, "line": [13, 14, 15, 16, 35, 68, 162], "0xa00000": 13, "0x0c": [13, 57, 58, 76, 78, 93, 111, 131, 133, 134, 143], "pcspma1": 13, "0x0e": 13, "0xa000": 13, "0x10": [13, 57, 58, 78, 93, 111, 131, 133, 134, 143], "0xa200": 13, "0x0f": 13, "eth1": 13, "0x2c": [13, 58, 131, 133], "boot_en": 13, "0xc000": [13, 55], "0x2000000": 13, "0x2800000": 13, "ddr_tester_0": 13, "0x3000000": 13, "ddr_tester_1": 13, "0x3020000": 13, "ddr_tester_2": 13, "0x3040000": 13, "ddr_tester_3": 13, "0x3060000": 13, "ddr_logger_0": 13, "0x3080000": 13, "0x30": [13, 131, 133], "ddr_logger_1": 13, "0x30a0000": 13, "ddr_logger_2": 13, "0x30c0000": 13, "ddr_logger_3": 13, "0x30e0000": 13, "0x5000": 13, "0x5080": 13, "0x50c0": 13, "0x5200": 13, "0x5280": 13, "0x52c0": 13, "uniqu": [13, 19, 21, 50, 134, 140, 141, 162], "identifi": [13, 113, 129, 134, 162], "With": [13, 36, 47, 59, 85, 113, 162, 176], "referenc": 13, "know": [13, 40, 85, 106, 162], "familiar": 13, "libfdt": [13, 15], "librari": [13, 14, 32, 53, 152], "syntax": 13, "hi": [13, 145, 146, 147, 154, 155, 156, 166], "ie": 13, "account": [13, 47, 85], "Such": [13, 40, 49, 85, 151], "do": [13, 15, 17, 21, 27, 32, 35, 36, 78, 85, 93, 94, 97, 106, 115, 116, 117, 131, 135, 138, 151, 162, 168, 176], "modif": [13, 81, 132], "bug": [13, 162], "fix": [13, 27, 47, 126, 162, 164], "increas": [13, 40, 45, 62, 90, 93, 94, 98, 101, 114, 131, 132, 145, 156, 157, 176], "minor": 13, "present": [13, 19, 32, 40, 47, 55, 85, 90, 94, 105, 124, 127, 134], "ideal": [13, 47, 93], "entity_bas": [13, 32, 162], "updat": [13, 32, 40, 51, 57, 62, 63, 64, 71, 86, 140], "match": [13, 129, 131, 162], "otherwis": [13, 32, 34, 47, 87, 93, 106, 120, 131, 133, 148, 158, 160, 161, 162], "correctli": [13, 15, 19, 85, 93, 114, 131, 149, 162], "import": [13, 32, 85, 94, 148, 158, 160, 162], "main": [13, 14, 21, 24, 32, 40, 45, 49, 50, 76, 91, 97, 129, 131, 133, 141, 143, 145, 156, 157, 166], "univers": [14, 34, 35, 39, 172, 176, 177], "czech": 14, "republ": 14, "academi": 14, "scienc": 14, "primarili": [14, 116], "probe": [14, 59, 85], "monitor": [14, 46, 129, 152, 175, 180], "backbon": 14, "fw": [14, 168], "amd": [14, 75, 154, 155, 166], "md": [14, 15, 55, 59], "manufactur": [14, 15], "agilex": [14, 21, 22, 32, 33, 34, 35, 42, 44, 51, 59, 79, 80, 81, 85, 86, 91, 93, 96, 97, 100, 107, 109, 110, 121, 123, 124, 125, 128, 131, 133, 138, 139, 172, 177], "stratix": [14, 15, 21, 22, 24, 51, 59, 131, 133, 172, 177, 180], "32b": [14, 143], "data": [14, 15, 16, 17, 19, 20, 21, 24, 26, 34, 35, 36, 37, 39, 40, 41, 42, 44, 45, 46, 47, 50, 52, 57, 58, 59, 60, 62, 64, 65, 67, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 85, 86, 87, 88, 89, 91, 92, 93, 96, 97, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 113, 114, 115, 116, 118, 119, 120, 121, 124, 125, 126, 127, 129, 131, 133, 134, 135, 138, 139, 141, 143, 144, 145, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 163, 164, 165, 166, 167, 168, 170, 172, 176, 177, 180], "transfer": [14, 15, 19, 20, 21, 23, 24, 26, 30, 40, 45, 76, 93, 101, 102, 109, 113, 126, 128, 165, 176, 180], "alwai": [14, 15, 21, 23, 24, 45, 51, 61, 65, 67, 93, 113, 114, 115, 126, 131, 132, 162, 164], "100gbase": 14, "handl": [14, 20, 23, 24, 32, 54, 59, 81, 132, 148, 158, 160, 167], "10gbase": 14, "25gbase": 14, "even": [14, 19, 21, 40, 45, 48, 49, 53, 59, 78, 86, 93, 113, 116, 162], "No": [14, 20, 76, 124, 140, 148, 158, 160], "layer": [14, 16, 129, 131, 133, 141], "l2": [14, 17], "frame": [14, 17, 19, 21, 28, 50, 69, 70, 71, 74, 76, 77, 78, 81, 82, 84, 88, 90, 92, 94, 95, 99, 100, 102, 105, 106, 116, 131, 132, 133, 135, 141, 146, 147, 149, 150, 151, 154, 155, 162, 166, 167, 178], "crc": [14, 17, 19, 21, 50, 131, 133], "ad": [14, 32, 39, 55, 59, 75, 79, 86, 93, 131, 132, 142, 162], "16383": [14, 28, 77], "b": [14, 45, 49, 59, 76, 86, 113, 132, 151, 162], "maximum": [14, 17, 19, 24, 25, 28, 33, 36, 40, 45, 46, 47, 48, 52, 53, 55, 64, 66, 68, 73, 75, 77, 78, 79, 81, 82, 86, 89, 93, 100, 101, 103, 104, 107, 123, 126, 131, 133, 138, 143, 145, 148, 151, 156, 157, 158, 160, 161, 167], "mac": [14, 17, 19, 23, 28, 32, 50, 78, 129, 152, 182], "discard": [14, 19, 21, 28, 50, 64, 69, 79, 81, 84, 85, 101, 107, 131, 133, 151, 162, 178, 181], "larger": [14, 33, 36, 39, 53, 57, 59, 94], "1522": 14, "limit": [14, 28, 35, 59, 102, 113, 116, 123, 126, 164, 178], "Not": [14, 77, 97, 102, 126, 135], "low": [14, 20, 24, 40, 45, 78, 93, 101, 116, 131, 133, 143, 144, 149, 152, 154, 155, 159, 163, 167], "calypt": [14, 20, 66, 73, 183], "under": [14, 20, 55, 93, 148, 158, 160, 161, 162], "compon": [14, 17, 19, 21, 23, 25, 31, 35, 36, 39, 41, 45, 46, 47, 48, 51, 55, 57, 60, 62, 64, 65, 67, 69, 70, 71, 72, 74, 77, 78, 79, 80, 81, 82, 83, 84, 86, 87, 89, 91, 92, 93, 94, 96, 97, 98, 100, 101, 103, 104, 105, 108, 109, 110, 111, 113, 114, 116, 118, 119, 120, 121, 122, 123, 124, 125, 126, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 142, 143, 145, 149, 151, 154, 155, 156, 157, 162, 166, 167, 168, 170, 173, 174, 176, 177, 178, 179, 180, 181, 182, 183, 185], "four": [14, 21, 93, 102, 113, 131, 133, 148, 160, 161, 164], "signal": [14, 19, 21, 27, 30, 36, 37, 41, 42, 44, 45, 47, 48, 51, 53, 56, 59, 61, 62, 63, 64, 66, 68, 69, 70, 73, 74, 75, 78, 85, 92, 93, 94, 96, 97, 100, 102, 103, 104, 105, 106, 107, 109, 113, 114, 115, 116, 117, 121, 122, 129, 131, 132, 133, 135, 136, 137, 138, 139, 143, 148, 149, 151, 154, 155, 158, 160, 161, 162, 163, 164, 165, 166, 167, 168, 172, 176, 177, 178, 180], "200": [14, 28, 46, 93, 129, 164], "300": 14, "mhz": [14, 24, 46, 75, 93, 129], "100mhz": 14, "200mhz": 14, "slower": [14, 15, 21], "degrad": [14, 129], "overal": [14, 40, 102], "api": [14, 19, 23], "separ": [14, 16, 21, 32, 40, 53, 59, 74, 83, 102, 104, 116, 126, 131, 133, 140, 141, 151, 162, 183], "unfortun": [14, 93, 162], "rate": [14, 17, 20, 129, 178], "gbp": [14, 16, 17, 20, 93, 129, 131, 133], "rather": [14, 113], "try": [14, 21, 40, 59, 115], "expans": [14, 81, 138], "rtl": 14, "step": [15, 17, 27, 32, 47, 57, 64, 76, 149, 162, 168], "rhel": 15, "o": [15, 59, 175], "rocki": 15, "dnf": [15, 55], "your_card": 15, "modifi": [15, 17, 32, 40, 54, 81, 93, 94, 114, 149, 151, 162, 168, 176], "app_conf": [15, 55], "instead": [15, 19, 32, 35, 36, 79, 85, 88, 94, 97, 102, 115, 148, 158, 160, 161, 162], "wait": [15, 46, 55, 57, 59, 60, 85, 89, 101, 109, 122, 124, 132, 133, 140, 141, 151, 162, 165], "until": [15, 17, 45, 47, 49, 55, 57, 59, 60, 78, 84, 85, 86, 93, 109, 113, 122, 141, 149, 162, 165, 167, 172], "successfulli": [15, 45, 131, 133, 162], "finish": [15, 59, 162], "nfw": 15, "pcie_conf": [15, 24], "1xgen4x16": 15, "board": [15, 19, 26, 28], "proper": [15, 19, 21, 132], "plug": 15, "slot": [15, 24, 26], "pre": [15, 49, 51], "deb": 15, "experi": 15, "over": [15, 19, 21, 23, 40, 46, 47, 50, 59, 78, 86, 93, 112, 131, 132, 170, 176], "malfunct": 15, "expect": [15, 28, 40, 55, 85, 96, 113, 115, 162], "method": [15, 116, 151, 154, 155, 162, 166], "pleas": [15, 17, 113, 144, 162, 163], "upload": [15, 55], "dx": 15, "copi": [15, 18, 81, 94, 117, 145, 154, 155, 156, 157, 166, 168], "your_ndk_firmwar": 15, "f0": 15, "seen": [15, 45, 53], "combo": 15, "serial": [15, 16, 21, 24, 28, 47, 51, 86, 129], "2024": 15, "02": 15, "08": 15, "45": [15, 129], "queue": [15, 16, 36, 97], "endpoint": [15, 19, 20, 24, 50, 66, 68, 69, 72, 73, 75, 138], "0000": [15, 36, 102, 113, 126, 137, 139], "gt": [15, 129], "numa": 15, "want": [15, 17, 21, 27, 37, 40, 46, 63, 85, 93, 94, 113, 115, 122, 131, 162, 168], "learn": [15, 19, 21, 162], "frequent": [15, 16, 45], "explain": [16, 21, 115, 162, 168], "physic": [16, 20, 21, 129, 166], "optic": [16, 129], "cage": 16, "protocol": [16, 21, 23, 76, 108, 144, 162, 163, 164, 167, 170], "standard": [16, 24, 32, 50, 68, 74, 85, 115, 124, 129, 131, 133, 149, 162, 176], "gbe": 16, "25": [16, 19, 21, 28, 33, 50, 129], "28": [16, 19, 21, 50, 131], "togeth": [16, 32, 45, 46, 49, 50, 94, 98, 106, 113, 115, 126, 134, 141, 148, 157, 160, 162, 165, 167, 176], "enough": [16, 21, 47, 93, 102, 162, 164], "group": [16, 102, 116, 162], "transmit": [16, 17, 24, 25, 26, 28, 30, 50, 70, 74, 91, 93, 96, 97, 102, 117, 122, 124, 125, 126, 129, 131, 132, 133, 149, 166, 182], "therefor": [16, 20, 21, 46, 57, 59, 76, 80, 86, 93, 101, 102, 113, 115, 126, 143, 162], "transmiss": [16, 17, 26, 61, 76, 78, 91, 102, 121, 123, 126, 132, 133, 162], "hdl": [17, 162], "execut": [17, 23, 32, 45, 54, 55, 114, 117, 124], "verif": [17, 32, 93, 125, 150, 151, 152, 154, 155, 164, 167, 168], "mainli": [17, 24, 32, 47], "uvm": [17, 125, 148, 152, 158, 160, 161, 164, 165], "simul": [17, 32, 47, 59, 76, 93, 101, 158, 162, 165, 167, 180, 185], "almost": [17, 35, 36, 47, 91, 97, 107, 172, 180], "cocotb": 17, "yet": [17, 20, 21, 25, 34, 74, 75, 109, 113], "publicli": 17, "among": [17, 19, 21, 23], "devicetre": [17, 19, 23, 24], "offset": [17, 21, 47, 51, 62, 77, 86, 91, 93, 97, 100, 109, 129, 131, 133, 134], "0x0": [17, 77, 115, 116, 129], "byte": [17, 19, 21, 24, 28, 46, 47, 50, 62, 63, 64, 66, 67, 68, 69, 70, 72, 73, 74, 75, 76, 77, 78, 79, 81, 86, 93, 100, 108, 109, 113, 114, 116, 131, 133, 134, 135, 138, 139, 143, 148, 152, 154, 155, 156, 157, 158, 160, 161, 164, 166, 168, 177, 183], "0x42": 17, "00000000": 17, "00000042": 17, "similarli": [17, 32, 74], "futur": [17, 42, 81, 103, 164], "meter": [17, 77, 168, 170], "datapath": 17, "come": [17, 21, 24, 40, 45, 69, 71, 101, 102, 113, 129, 132, 154, 155, 162], "mfb_tool": [17, 178], "gls_mod": 17, "port_list": 17, "black": 17, "hole": [17, 164], "ext": 17, "length": [17, 19, 21, 28, 41, 45, 46, 47, 50, 59, 66, 68, 73, 75, 78, 80, 81, 86, 93, 94, 100, 101, 102, 104, 116, 126, 131, 132, 133, 134, 139, 146, 147, 151, 176], "continu": [17, 20, 25, 36, 46, 57, 74, 76, 106, 115, 132, 137, 167], "repeat": [17, 49, 57, 93, 140, 162, 167], "increment": [17, 21, 38, 40, 53, 54, 59, 71, 78, 91, 97, 113, 116, 131, 143, 164], "reach": [17, 45, 46, 47, 69, 75, 91, 93, 140], "simpli": [17, 32, 41, 97, 162], "pma": [17, 21, 23, 28, 131, 133, 152], "local": [17, 19, 55, 165], "calcul": [17, 19, 32, 34, 47, 52, 53, 70, 93, 97, 101, 102, 116, 126, 131, 133, 136, 140, 151, 162, 165, 176, 178, 180], "destin": [17, 19, 21, 45, 50, 76, 78, 89, 92, 116, 118, 119, 121, 131, 133, 135, 165, 176, 180], "end": [17, 19, 32, 40, 46, 47, 53, 55, 56, 59, 61, 69, 76, 81, 84, 85, 86, 87, 93, 94, 97, 102, 113, 115, 131, 132, 133, 135, 146, 147, 148, 149, 150, 151, 154, 155, 160, 161, 162, 165, 167, 172], "payload": [17, 19, 24, 88, 95, 136, 138], "71": 17, "43": 17, "79": 17, "31": [17, 19, 21, 24, 25, 50, 76, 78, 116, 129, 131, 133, 134, 138, 143, 154, 155], "128": [17, 61, 64, 67, 76, 90, 94, 125, 128, 133, 138, 139, 145, 148, 156, 157, 158, 160, 161], "rang": [17, 19, 21, 23, 25, 27, 28, 46, 50, 54, 59, 60, 97, 115, 126, 143, 154, 155, 165], "report": [17, 35, 53], "pip": 18, "txt": 18, "let": [18, 40, 47, 93, 94, 113, 115], "proj_onli": [18, 32], "ndk": [19, 20, 21, 22, 23, 24, 55, 75, 102, 154, 155], "deep": [19, 34, 103], "pipelin": [19, 39, 45, 47, 86, 92, 94, 109, 115, 129, 149, 162, 180], "defin": [19, 21, 32, 35, 39, 40, 45, 46, 51, 54, 56, 66, 68, 73, 75, 76, 86, 93, 101, 105, 107, 113, 115, 124, 127, 129, 133, 138, 149, 162, 164, 166, 168], "devic": [19, 23, 24, 27, 28, 32, 33, 34, 35, 36, 38, 42, 43, 44, 45, 46, 51, 52, 55, 56, 59, 60, 61, 62, 63, 64, 66, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 85, 86, 87, 88, 90, 91, 92, 93, 94, 95, 96, 97, 100, 101, 103, 104, 105, 107, 108, 109, 110, 112, 115, 116, 117, 119, 121, 122, 123, 124, 125, 127, 128, 129, 131, 133, 135, 138, 139, 143, 162], "cpu": 19, "ddr4": [19, 22, 170], "csr": [19, 21, 23, 26], "header": [19, 21, 47, 50, 62, 63, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 86, 88, 95, 100, 104, 129, 134, 136, 141, 150, 151, 162, 166, 168, 180, 183], "metadata": [19, 21, 25, 37, 42, 44, 45, 49, 61, 62, 63, 64, 74, 75, 77, 79, 80, 81, 82, 86, 87, 88, 89, 91, 93, 94, 96, 97, 100, 101, 103, 104, 105, 108, 109, 113, 123, 131, 148, 160, 164, 168, 178], "clock": [19, 21, 24, 25, 27, 28, 30, 32, 33, 34, 35, 36, 38, 39, 41, 42, 44, 45, 46, 47, 48, 53, 54, 59, 61, 62, 63, 66, 73, 76, 77, 79, 81, 82, 83, 84, 85, 86, 87, 89, 90, 91, 92, 93, 94, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 108, 109, 110, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 125, 126, 128, 129, 131, 133, 138, 141, 143, 144, 149, 150, 154, 155, 162, 163, 164, 165, 166, 167, 177, 178, 180, 181], "equal": [19, 28, 33, 54, 59, 93, 101, 102, 113, 115, 143, 162], "prepar": [19, 32, 146, 147, 150, 164], "distribut": [19, 34, 43, 47, 113, 116, 126, 129, 145, 149, 156, 157, 167, 176], "strongli": [19, 21, 32, 162], "enter": [19, 21, 24, 132, 151], "eth_rx_": 19, "carri": [19, 21, 113, 115, 176], "actual": [19, 21, 35, 36, 40, 45, 46, 66, 68, 73, 86, 93, 115, 131, 133, 143, 162, 164, 165], "though": [19, 21, 49, 102, 115], "_dst_rdy": [19, 21, 76], "perman": [19, 21], "buffer": [19, 21, 24, 45, 47, 57, 59, 60, 62, 63, 64, 68, 70, 71, 73, 74, 75, 81, 91, 97, 101, 106, 125, 129, 131, 132, 133, 178, 180], "fifo": [19, 21, 30, 31, 34, 35, 36, 38, 45, 46, 47, 49, 51, 56, 68, 76, 77, 88, 90, 91, 94, 95, 97, 103, 105, 106, 107, 108, 119, 122, 123, 124, 127, 129, 140, 141, 146, 147, 149, 150, 152, 180], "fill": [19, 21, 32, 45, 46, 57, 60, 106, 132, 139, 149], "stuck": [19, 21, 138, 162, 168, 180], "eth_hdr_pack": [19, 21, 50, 131], "hdr": [19, 21, 50, 74, 166], "global": [19, 21, 32, 47, 50, 75, 129, 139], "24": [19, 21, 46, 50, 59, 64, 68, 71, 74, 75, 87, 101, 102, 124, 162], "mask": [19, 21, 42, 44, 46, 50, 66, 85, 89, 102, 106, 110, 118, 126, 131], "OR": [19, 21, 50, 115, 176], "errorfram": [19, 21, 50], "26": [19, 21, 23, 50, 52, 59], "errormintu": [19, 21, 50], "mintu": [19, 21, 50, 131], "27": [19, 21, 50, 131], "errormaxtu": [19, 21, 50], "maxtu": [19, 21, 50], "errorcrc": [19, 21, 50], "29": [19, 21, 50], "errormac": [19, 21, 50], "30": [19, 21, 50], "broadcast": [19, 21, 50], "multicast": [19, 21, 50, 131], "hitmacvld": [19, 21, 50], "hit": [19, 21, 50], "tcam": [19, 21, 28, 50], "33": [19, 21, 50, 129, 135], "36": [19, 21, 50], "hitmac": [19, 21, 50], "index": [19, 21, 36, 40, 50, 53, 57, 59, 64, 70, 113, 115, 126, 132, 134, 176], "37": [19, 21, 50], "timestampvld": [19, 21, 50], "timestamp": [19, 21, 23, 50, 91, 131, 142, 143, 169, 178], "38": [19, 21, 50], "101": [19, 21, 50, 142, 143], "doc": [19, 21, 50], "draft": [19, 21, 23, 50], "sent": [19, 20, 21, 24, 47, 57, 62, 63, 64, 66, 73, 75, 78, 84, 85, 97, 98, 104, 111, 113, 115, 124, 131, 132, 133, 145, 149, 156, 157, 158, 162, 164], "eth_tx_mfb_": 19, "special": [19, 21, 32, 47, 86, 180], "eth_tx_mfb_hdr": 19, "region": [19, 28, 61, 65, 67, 68, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 105, 131, 133, 135, 138, 144, 148, 158, 160, 161, 162, 163, 180], "further": [19, 21, 23, 32, 106], "minimum": [19, 21, 28, 32, 34, 47, 52, 55, 75, 78, 86, 88, 93, 95, 103, 116, 123, 131, 133, 145, 156, 157, 167], "60b": [19, 21, 81, 132, 133], "pad": [19, 55], "previou": [19, 21, 40, 47, 59, 85, 86, 94, 113, 115, 132, 140, 141, 158, 162, 165, 168], "dma_tx_": 19, "mvb_data": 19, "mvb_len": 19, "mvb_hdr_meta": 19, "mvb_channel": 19, "determin": [19, 34, 35, 36, 53, 72, 81, 86, 88, 93, 102, 105, 113, 115, 117, 121, 127, 131, 133, 135], "presenc": [19, 49, 94, 126, 135], "dma_tx_mvb_hdr_meta": [19, 77], "hdr_len": 19, "11": [19, 33, 52, 53, 58, 74, 76, 113, 115, 129, 136, 139], "hdr_id": 19, "identif": [19, 134, 180], "definit": [19, 32, 40, 57, 58, 59, 131, 133], "ndp": 19, "dma_rx_": 19, "role": 19, "mvb_discard": [19, 118, 162], "dma_rx_mvb_hdr_meta": [19, 77], "orient": [19, 23, 35, 148, 158, 160], "wire": [19, 23, 35, 109, 112, 127, 138, 162, 176, 180], "alloc": [19, 24], "interconnect": [19, 26, 87], "good": [19, 32, 93, 162], "idea": [19, 32, 46, 86, 162], "inspir": [19, 93, 162], "tabl": [19, 21, 59, 102, 115, 116, 126, 144, 145, 148, 156, 157, 158, 160, 161, 162, 163, 168, 176, 181], "eth_stream": [19, 28], "natur": [19, 24, 28, 33, 34, 35, 36, 38, 39, 42, 44, 46, 48, 61, 66, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 86, 87, 88, 89, 90, 91, 93, 94, 96, 97, 99, 100, 101, 102, 103, 104, 105, 107, 108, 109, 110, 111, 116, 117, 118, 119, 121, 122, 123, 124, 125, 126, 127, 128, 131, 133, 135, 138, 139, 154, 155, 166], "eth_channel": 19, "per": [19, 21, 24, 25, 28, 30, 42, 44, 45, 85, 86, 93, 97, 100, 102, 116, 125, 143, 150, 151, 166, 167], "eth_mfb_region": 19, "eth_mfb_region_s": 19, "dma_stream": 19, "dma_rx_channel": 19, "dma_tx_channel": 19, "dma_hdr_meta_width": 19, "dma_rx_frame_size_max": 19, "dma_tx_frame_size_max": 19, "dma_mfb_region": 19, "dma_mfb_region_s": 19, "mfb_region": [19, 71, 72, 79, 81, 86, 88, 89, 90, 91, 93, 95, 97, 100, 101, 103, 104, 107, 123, 135, 138], "deprec": 19, "mfb_reg_siz": [19, 88, 95, 103], "mfb_block_siz": [19, 71, 72, 79, 81, 86, 88, 89, 90, 91, 93, 95, 97, 100, 101, 103, 104, 107, 123, 135, 138], "mfb_item_width": [19, 71, 72, 79, 81, 86, 88, 89, 90, 91, 93, 95, 97, 100, 101, 103, 104, 107, 123, 135, 138], "hbm_port": 19, "hbm": 19, "hbm_addr_width": 19, "axi": [19, 24, 110, 135, 139, 141, 152, 158], "hbm_data_width": 19, "256": [19, 37, 39, 59, 75, 76, 116, 135, 138, 168], "hbm_burst_width": 19, "hbm_id_width": 19, "id": [19, 21, 24, 27, 28, 49, 50, 51, 81, 85, 86, 97, 111, 130, 131, 139, 140, 141], "hbm_len_width": 19, "len": 19, "hbm_size_width": 19, "hbm_resp_width": 19, "resp": 19, "mem_port": 19, "emif": [19, 22, 57, 59, 60], "mem_addr_width": [19, 52], "avmm": [19, 21, 109], "mem_burst_width": [19, 52], "mem_data_width": [19, 52], "512": [19, 34, 36, 38, 44, 52, 59, 75, 76, 77, 103, 104, 105, 107, 127, 135, 138, 162], "mem_refr_period_width": 19, "refresh": [19, 59], "period": [19, 32, 48, 59, 61, 85, 89, 97, 101, 129, 176, 180], "mem_def_refr_period": 19, "integ": [19, 32, 37, 40, 42, 43, 44, 52, 53, 54, 56, 59, 62, 63, 64, 65, 67, 85, 88, 89, 90, 92, 94, 95, 96, 98, 100, 101, 103, 112, 113, 115, 120, 143, 162, 165], "amm_freq_khz": [19, 52, 59], "266660": [19, 52, 59], "freq": [19, 58, 97], "amm": [19, 57, 58, 59, 60], "mi_data_width": [19, 28, 52, 53, 57, 59, 93, 97, 110, 116, 138], "mi_addr_width": [19, 28, 52, 53, 59, 93, 97, 116, 138], "fpga_id_width": 19, "reset_width": [19, 28], "reset": [19, 24, 25, 28, 30, 33, 34, 35, 36, 37, 38, 39, 41, 42, 44, 45, 46, 48, 53, 54, 58, 59, 60, 62, 63, 64, 66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 104, 105, 106, 108, 109, 110, 111, 112, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 136, 138, 142, 143, 148, 151, 152, 154, 155, 158, 160, 161, 164, 180], "undefin": [19, 21, 36, 54, 56, 59, 62, 63, 102, 113, 167], "AND": [19, 24, 28, 62, 82, 83, 84, 99, 105, 115, 116, 125, 131, 176], "clk_user": [19, 28], "std_logic": [19, 24, 28, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 46, 48, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 138, 139, 142, 143], "clk_user_x2": 19, "doubl": [19, 21, 45, 94, 101, 131, 133, 140, 141, 172, 176], "frequenc": [19, 21, 30, 45, 46, 47, 59, 75, 93, 101, 129, 133, 141, 143, 177, 180], "clk_user_x3": 19, "tripl": 19, "clk_user_x4": 19, "quadrupl": 19, "reset_us": [19, 28], "downto": [19, 24, 28, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 46, 48, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 134, 135, 136, 137, 138, 139, 142, 143], "synchron": [19, 25, 30, 36, 44, 76, 116, 117, 118, 119, 121, 122, 123, 129, 131, 133, 138, 148, 158, 160, 161, 167, 176, 180], "reset_user_x2": 19, "reset_user_x3": 19, "reset_user_x4": 19, "clk_eth": [19, 28], "reset_eth": [19, 28], "BY": 19, "mi_clk": [19, 24, 28, 32, 59, 77, 87, 129, 131, 133, 143], "out": [19, 24, 28, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 48, 49, 52, 53, 54, 56, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 107, 108, 109, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 142, 143, 162, 168, 180], "dma_clk": [19, 24], "dma_clk_x2": 19, "app_clk": 19, "mi_reset": [19, 24, 28, 77, 87, 129, 131, 133, 143], "dma_reset": [19, 24], "dma_reset_x2": 19, "app_reset": 19, "timestap": 19, "tsu_clk": [19, 25, 28], "tsu_reset": [19, 25], "tsu_ts_n": [19, 25, 28, 131], "nanosecond": [19, 25, 68, 74, 131, 142, 143], "tsu_ts_vld": [19, 25], "pcie_link_up": [19, 24], "activ": [19, 21, 24, 30, 40, 59, 73, 88, 106, 113, 120, 121, 131, 133, 141, 143, 145, 148, 149, 154, 155, 156, 157, 158, 160, 161, 162, 165, 166, 167, 175], "ep": 19, "readi": [19, 20, 22, 34, 45, 57, 59, 76, 89, 92, 102, 103, 108, 109, 113, 116, 118, 119, 120, 121, 125, 126, 131, 133, 135, 138, 143, 165, 176, 180], "eth_rx_link_up": 19, "eth_tx_phy_rdi": 19, "phy": [19, 21, 182], "fpga_id": 19, "chip": [19, 51, 176], "fpga_id_vld": 19, "incom": [19, 21, 45, 64, 65, 69, 70, 82, 83, 91, 93, 97, 102, 106, 113, 115, 131, 136, 137, 141, 162], "appropri": [19, 21, 24, 32, 101, 134, 168], "eth_rx_mvb_data": 19, "eth_rx_hdr_width": [19, 28, 131], "eth_rx_mvb_vld": [19, 77], "eth_rx_mvb_src_rdi": [19, 77], "eth_rx_mvb_dst_rdi": [19, 77], "eth_rx_mfb_data": [19, 77], "eth_rx_mfb_sof": [19, 77], "Of": [19, 86, 131, 133, 135], "eth_rx_mfb_eof": [19, 77], "eof": [19, 61, 81, 82, 84, 85, 86, 89, 90, 94, 101, 102, 104, 106, 107, 131, 132, 133, 135, 146, 147, 148, 154, 155, 160, 162, 163, 167], "eth_rx_mfb_sof_po": [19, 77], "log2": [19, 24, 28, 34, 35, 37, 38, 42, 43, 44, 45, 54, 56, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 107, 114, 116, 117, 122, 123, 127, 128, 131, 133, 135, 138], "posit": [19, 27, 32, 40, 41, 76, 82, 83, 102, 106, 113, 114, 115, 117, 129, 131, 133, 135, 166], "eth_rx_mfb_eof_po": [19, 77], "eth_rx_mfb_src_rdi": [19, 77], "eth_rx_mfb_dst_rdi": [19, 77], "outgo": [19, 132], "meta": [19, 95, 100, 108, 109, 115, 126, 139, 151, 162, 163, 164], "eth_tx_mfb_data": [19, 77], "eth_tx_hdr_width": [19, 28], "eth_tx_mfb_sof": [19, 77], "eth_tx_mfb_eof": [19, 77], "eth_tx_mfb_sof_po": [19, 77], "eth_tx_mfb_eof_po": [19, 77], "eth_tx_mfb_src_rdi": [19, 77], "eth_tx_mfb_dst_rdi": [19, 77], "dma_rx_mvb_len": [19, 77], "dma_rx_mvb_channel": [19, 77], "dma_rx_mvb_discard": [19, 77], "dma_rx_mvb_vld": [19, 77], "dma_rx_mvb_src_rdi": [19, 77], "dma_rx_mvb_dst_rdi": [19, 77], "dma_rx_mfb_data": [19, 77], "dma_rx_mfb_sof": [19, 77], "dma_rx_mfb_eof": [19, 77], "dma_rx_mfb_sof_po": [19, 77], "dma_rx_mfb_eof_po": [19, 77], "dma_rx_mfb_src_rdi": [19, 77], "dma_rx_mfb_dst_rdi": [19, 77], "dma_tx_mvb_len": [19, 77], "dma_tx_mvb_channel": [19, 77], "dma_tx_mvb_vld": [19, 77], "dma_tx_mvb_src_rdi": [19, 77], "dma_tx_mvb_dst_rdi": [19, 77], "dma_tx_mfb_data": [19, 77], "dma_tx_mfb_sof": [19, 77], "dma_tx_mfb_eof": [19, 77], "dma_tx_mfb_sof_po": [19, 77], "dma_tx_mfb_eof_po": [19, 77], "dma_tx_mfb_src_rdi": [19, 77], "dma_tx_mfb_dst_rdi": [19, 77], "dma_tx_usr_choke_chan": 19, "paus": [19, 91, 97, 102, 126], "choke": 19, "slack": 19, "behind": [19, 86, 97, 113], "hbm_clk": 19, "hbm_reset": 19, "hbm_init_don": 19, "hbm_axi_araddr": 19, "slv_array_t": [19, 24, 42, 43, 53, 59, 81, 86, 89, 95, 96, 104, 111, 115, 121, 128], "hbm_axi_arburst": 19, "hbm_axi_arid": 19, "hbm_axi_arlen": 19, "hbm_axi_ars": 19, "hbm_axi_arvalid": 19, "hbm_axi_arreadi": 19, "hbm_axi_rdata": 19, "hbm_axi_rdata_par": 19, "hbm_axi_rid": 19, "hbm_axi_rlast": 19, "hbm_axi_rresp": 19, "hbm_axi_rvalid": 19, "hbm_axi_rreadi": 19, "hbm_axi_awaddr": 19, "hbm_axi_awburst": 19, "hbm_axi_awid": 19, "hbm_axi_awlen": 19, "hbm_axi_aws": 19, "hbm_axi_awvalid": 19, "hbm_axi_awreadi": 19, "hbm_axi_wdata": 19, "hbm_axi_wdata_par": 19, "hbm_axi_wlast": 19, "hbm_axi_wstrb": 19, "hbm_axi_wvalid": 19, "hbm_axi_wreadi": 19, "hbm_axi_bid": 19, "hbm_axi_bresp": 19, "hbm_axi_bvalid": 19, "hbm_axi_breadi": 19, "mem_clk": [19, 52], "mem_rst": [19, 52], "mem_avmm_readi": [19, 52], "mem_avmm_read": [19, 52], "mem_avmm_writ": [19, 52], "mem_avmm_address": [19, 52], "mem_avmm_burstcount": [19, 52], "mem_avmm_writedata": [19, 52], "mem_avmm_readdata": [19, 52], "mem_avmm_readdatavalid": [19, 52], "mem_refr_period": 19, "mem_refr_req": 19, "mem_refr_ack": 19, "ack": 19, "emif_rst_req": [19, 59], "emif_rst_don": [19, 59], "emif_ecc_usr_int": 19, "ecc": [19, 59], "interupt": 19, "emif_cal_success": [19, 59], "calibr": [19, 59], "emif_cal_fail": [19, 59], "fail": [19, 59, 162], "emif_auto_precharg": [19, 59], "auto": [19, 35, 36, 39, 59, 105, 124, 127, 128, 140], "precharg": [19, 59], "mi_dwr": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "master": [19, 23, 73, 108, 110, 113, 116, 129, 131, 133, 138, 143, 162, 164], "slave": [19, 108, 110, 113, 116, 129, 131, 133, 138, 143, 162, 164], "mi_addr": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_b": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_rd": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_wr": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_ardi": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_drd": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "mi_drdi": [19, 24, 28, 52, 53, 59, 66, 68, 73, 74, 75, 77, 78, 87, 93, 97, 109, 110, 116, 129, 131, 133, 138, 143], "auxiliari": [20, 70, 85, 93, 135, 138, 178], "parametr": [20, 34], "still": [20, 47, 84, 126, 141], "desir": [20, 24, 46, 93, 100, 111, 168], "automat": [20, 22, 24, 32, 35, 36, 39, 46, 57, 85, 93, 97, 162, 172, 177], "replac": [20, 35, 115, 127, 137], "immedi": [20, 30, 32, 85, 86, 111, 113, 132, 133], "sublay": 21, "client": [21, 169, 170], "standardli": 21, "consequ": 21, "That": [21, 86, 102, 111, 113, 115, 162], "adapt": [21, 24], "abil": [21, 46, 102, 106, 129], "backpressur": [21, 132], "adjac": 21, "side": [21, 34, 37, 49, 74, 75, 77, 85, 93, 98, 106, 108, 109, 113, 115, 129, 131, 132, 133, 141, 162, 165], "rest": [21, 32, 59, 79, 85, 86], "kei": 21, "realiti": 21, "much": [21, 48, 76, 88, 115, 141, 151], "look": [21, 27, 40, 93, 115, 132, 143, 168, 176], "unit": [21, 23, 26, 40, 45, 46, 47, 49, 50, 77, 86, 88, 93, 101, 102, 106, 109, 114, 124, 126, 131, 133, 134, 140, 141, 142, 143, 162, 169, 176, 180, 183], "nic": [21, 182], "repres": [21, 27, 35, 68, 74, 91, 93, 143, 162], "splitter": [21, 26, 162, 178, 179], "mi_adc_port_ethmod": 21, "0x00ffffff": [21, 23], "mi_adc_port_netmod": 21, "0x0000ffff": [21, 23], "mi_adc_port_ethpmd": 21, "0x00003000": [21, 23], "0x00003fff": [21, 23], "subsect": [21, 168], "left": [21, 34, 35, 36, 37, 51, 75, 93, 102, 105, 113, 126, 127, 132, 176], "rx_mfb_": [21, 132], "along": [21, 37, 86, 113, 131], "rx_mfb_hdr": [21, 28], "tx_mfb_": 21, "tx_mvb_": 21, "tx_": 21, "eventu": 21, "lower": [21, 30, 35, 36, 45, 46, 49, 78, 82, 85, 88, 94, 101, 113, 115, 123, 131, 132, 139, 142, 143, 145, 156, 157, 162, 176], "behavior": [21, 40, 41, 73, 108, 113, 116, 132, 142, 162, 165, 172, 176, 177, 180], "unders": 21, "0x00200000": 21, "transact": [21, 23, 24, 26, 45, 50, 57, 59, 68, 70, 74, 75, 101, 102, 111, 113, 115, 117, 122, 123, 124, 125, 126, 128, 132, 133, 135, 136, 137, 139, 140, 145, 146, 147, 148, 149, 150, 151, 154, 155, 156, 157, 158, 160, 161, 162, 164, 166, 168, 180, 183], "Its": [21, 24, 59, 66, 132], "0x0000000": 21, "0x000000": [21, 78, 116], "0x1fffff": 21, "0x0200000": 21, "0x200000": 21, "0x3fffff": 21, "mention": [21, 32, 55, 93, 102, 115], "0x0000": [21, 53, 59, 78], "0x0fff": 21, "0x2fff": 21, "right": [21, 27, 37, 51, 85, 93, 97, 102, 113, 126, 131, 132, 146, 147, 150, 154, 155, 168, 176], "now": [21, 27, 40, 46, 58, 60, 81, 113, 115, 140, 162, 168], "tile_multir": 21, "readabl": [21, 162], "pin": [21, 129], "onc": [21, 26, 40, 45, 46, 47, 73, 85, 94, 106, 113, 150, 166], "mgmt": [21, 129], "drp_bridg": 21, "mac_loopback": 21, "drc": 21, "made": [21, 27, 46, 58, 59, 132, 162, 164, 167, 180], "constraint": [21, 30, 32, 150, 162], "multir": 21, "qsf": [21, 27], "fec": [21, 27], "xcvr": 21, "eight": [21, 166], "altogeth": [21, 102], "number_of_channel": 21, "matter": [21, 40], "ge": 21, "pair": [21, 32, 134], "avst": [21, 131, 133], "segment": [21, 62, 63, 131, 133], "lbu": [21, 131, 133, 182], "diagnost": 21, "post": [21, 162], "re": [21, 93, 115, 140], "revers": [21, 78, 132, 176], "normal": [21, 145, 156, 157], "turn": [21, 35, 94, 129, 133], "emploi": 21, "mdio": 21, "task": [21, 24, 57, 129, 131, 133, 145, 146, 147, 151, 156, 157, 162, 164, 166, 167, 168], "record": 21, "suit": [21, 27], "feed": 21, "pictur": [21, 93, 113, 126, 132, 162], "somehow": 21, "domain": [21, 30, 48, 59, 103, 108, 167], "twice": [21, 85, 94], "problem": [21, 27, 40, 94, 151, 162, 176], "virtual": [21, 50, 102, 139, 148, 151, 158, 160, 161, 164, 168], "multi": [21, 30, 40, 45, 47, 49, 53, 73, 79, 90, 102, 106, 117, 122, 125, 126, 129, 134, 140, 149, 176], "tri": [21, 32, 89, 176], "illustr": [21, 86, 93, 115], "subsequ": 21, "addition": [21, 59, 128], "concern": [21, 32, 94], "4x25": 21, "notat": 21, "port_id": 21, "channel_id": 21, "0x01ff": 21, "0x0200": 21, "0x03ff": 21, "0x0400": 21, "0x05ff": 21, "0x0600": 21, "0x07ff": 21, "0x0800": [21, 55], "0x09ff": 21, "0x0a00": 21, "0x0bff": 21, "0x0c00": 21, "0x0dff": 21, "0x0e00": 21, "0x21ff": 21, "0x2200": 21, "0x23ff": 21, "0x2400": 21, "0x25ff": 21, "0x2600": 21, "0x27ff": 21, "0x2800": 21, "0x29ff": 21, "0x2a00": 21, "0x2bff": 21, "0x2c00": 21, "0x2dff": 21, "0x2e00": 21, "x": [21, 24, 39, 59, 85, 93, 96, 102, 138, 150, 151, 162], "1x100ge": 21, "4x25ge": 21, "4x10ge": 21, "1x400ge": 21, "2x200ge": 21, "4x100ge": 21, "8x50ge": 21, "2x40ge": 21, "slightli": [22, 40, 45, 49, 162], "axi4": [22, 110], "keep": [22, 40, 41, 57, 93, 115], "tester": [22, 170], "mtc": [23, 24, 183], "act": [23, 51, 55, 115, 164], "non": [23, 27, 40, 45, 137, 140, 162], "deadlock": [23, 47, 49], "ident": 23, "mi_addr_space_pkg": 23, "0x000000ff": 23, "0x00000100": 23, "0x00000fff": 23, "reserv": [23, 32, 78, 129, 131, 133, 134], "0x00001fff": 23, "sdm": [23, 169], "sysmon": 23, "temp": 23, "asx4": 23, "0x00002fff": 23, "0x000040ff": 23, "0x00004100": 23, "0x00004fff": 23, "0x00007fff": 23, "0x0000bfff": 23, "0x0000c000": 23, "0x0001ffff": 23, "0x00020000": 23, "0x007fffff": 23, "0x013fffff": 23, "0x01400000": 23, "0x01ffffff": 23, "msix": 23, "0x03ffffff": 23, "transform": [24, 76, 94, 141, 149], "duplic": [24, 81], "bifurc": 24, "1xgen1x16": 24, "consol": 24, "1xgen3x16": 24, "2xgen4x8x8": 24, "x8x8": 24, "bifurac": 24, "2xgen5x8x8": 24, "1xgen3x8ll": 24, "x8": [24, 75], "st": 24, "unifi": 24, "pcie_mod_arch": 24, "pcie_endpoint_typ": 24, "r_tile": 24, "express": [24, 32, 71, 74, 75, 134, 135, 137, 162], "p_tile": 24, "integr": [24, 32, 135], "respons": [24, 45, 62, 63, 74, 75, 109, 113, 124, 138, 140, 141, 144, 163, 164, 165, 168], "completit": [24, 139], "bar": [24, 138, 139], "bar2": [24, 138], "dma_bar_en": 24, "assum": [24, 162], "half": [24, 132, 143], "bar4": [24, 138], "ptc": [24, 183], "vice": [24, 69, 131], "versa": [24, 69, 131], "tag": [24, 50, 135, 139, 141, 151, 162, 183], "ptc_disabl": 24, "bar0_base_addr": [24, 138], "01000000": [24, 138], "bar1_base_addr": [24, 138], "02000000": [24, 138], "bar2_base_addr": [24, 138], "03000000": [24, 138], "bar3_base_addr": [24, 138], "04000000": [24, 138], "bar4_base_addr": [24, 138], "05000000": [24, 138], "bar5_base_addr": [24, 138], "06000000": [24, 138], "exp_rom_base_addr": [24, 138], "0a000000": [24, 138], "cq_mfb_region": 24, "cq_mfb_region_s": 24, "cq_mfb_block_siz": 24, "cq_mfb_item_width": 24, "rc_mfb_region": 24, "rc_mfb_region_s": 24, "rc_mfb_block_siz": 24, "rc_mfb_item_width": 24, "cc_mfb_region": 24, "cc_mfb_region_s": 24, "cc_mfb_block_siz": 24, "cc_mfb_item_width": 24, "rq_mfb_region": 24, "rq_mfb_region_s": 24, "rq_mfb_block_siz": 24, "rq_mfb_item_width": 24, "dma_port": 24, "dma_ep": 24, "pcie_ep": 24, "pcie_clk": 24, "pcie_con": 24, "lane": [24, 28, 129, 149], "card_id_width": 24, "boolean": [24, 28, 33, 34, 35, 36, 37, 39, 42, 44, 46, 54, 59, 68, 75, 77, 78, 84, 85, 87, 88, 89, 90, 92, 95, 97, 99, 100, 101, 103, 104, 108, 112, 115, 116, 117, 118, 119, 120, 121, 124, 125, 127, 128, 131, 133, 135, 136, 138, 143], "rq": [24, 61, 139], "rc": [24, 139], "cq": [24, 74, 75, 135, 138, 139], "cc": [24, 135, 138, 139], "xvc_enabl": 24, "xcv": 24, "stratix10": [24, 28, 32, 33, 34, 35, 38, 42, 44, 66, 73, 77, 78, 79, 81, 85, 86, 91, 95, 96, 97, 100, 101, 104, 105, 115, 119, 124, 127, 131, 133, 138, 139], "pcie_sysclk_p": 24, "pcie_sysclk_n": 24, "pcie_sysrst_n": 24, "init_done_n": 24, "ninit_don": 24, "releas": [24, 55, 140, 172], "pcie_user_clk": 24, "pcie_user_reset": 24, "pcie_rx_p": 24, "pcie_rx_n": 24, "pcie_tx_p": 24, "pcie_tx_n": 24, "pcie_mp": 24, "pcie_mrr": 24, "pcie_ext_tag_en": 24, "pcie_10b_tag_req_en": 24, "pcie_rcb_siz": 24, "rcb": 24, "card_id": 24, "compar": [24, 40, 59, 73, 88, 115, 141, 145, 152, 154, 155, 156, 157, 162, 166, 176], "gap": [24, 47, 86, 93, 94, 101, 102, 126, 131, 132, 133, 148, 151, 158, 160, 162, 180], "src_rdy": [24, 34, 76, 102, 125, 126, 162, 163, 165, 176], "dma_rq_mfb_data": 24, "dma_rq_mfb_meta": 24, "pcie_rq_meta_width": [24, 61, 68, 75], "dma_rq_mfb_sof": 24, "dma_rq_mfb_eof": 24, "dma_rq_mfb_sof_po": 24, "dma_rq_mfb_eof_po": 24, "dma_rq_mfb_src_rdi": 24, "dma_rq_mfb_dst_rdi": 24, "dma_rq_mvb_data": 24, "dma_uphdr_width": [24, 88, 95], "dma_rq_mvb_vld": 24, "dma_rq_mvb_src_rdi": 24, "dma_rq_mvb_dst_rdi": 24, "dma_rc_mfb_data": 24, "dma_rc_mfb_meta": 24, "pcie_rc_meta_width": 24, "dma_rc_mfb_sof": 24, "dma_rc_mfb_eof": 24, "dma_rc_mfb_sof_po": 24, "dma_rc_mfb_eof_po": 24, "dma_rc_mfb_src_rdi": 24, "dma_rc_mfb_dst_rdi": 24, "dma_rc_mvb_data": 24, "dma_downhdr_width": [24, 88, 95], "dma_rc_mvb_vld": 24, "dma_rc_mvb_src_rdi": 24, "dma_rc_mvb_dst_rdi": 24, "dma_cq_mfb_data": 24, "dma_cq_mfb_meta": 24, "pcie_cq_meta_width": [24, 70, 74, 75, 138], "dma_cq_mfb_sof": 24, "dma_cq_mfb_eof": 24, "dma_cq_mfb_sof_po": 24, "dma_cq_mfb_eof_po": 24, "dma_cq_mfb_src_rdi": 24, "dma_cq_mfb_dst_rdi": 24, "dma_cc_mfb_data": 24, "dma_cc_mfb_meta": 24, "pcie_cc_meta_width": [24, 74, 75, 138], "dma_cc_mfb_sof": 24, "dma_cc_mfb_eof": 24, "dma_cc_mfb_sof_po": 24, "dma_cc_mfb_eof_po": 24, "dma_cc_mfb_src_rdi": 24, "dma_cc_mfb_dst_rdi": 24, "mi32": [24, 77, 87, 113, 129, 131, 133, 138, 143], "root": [24, 32, 162], "tree": [24, 55, 154, 155, 176], "mi_dbg": 24, "mi_dbg_dwr": 24, "mi_dbg_addr": 24, "mi_dbg_b": 24, "mi_dbg_rd": 24, "mi_dbg_wr": 24, "mi_dbg_drd": 24, "mi_dbg_ardi": 24, "mi_dbg_drdi": 24, "accur": [25, 26, 143], "tsu_gen": [25, 143], "puls": [25, 143, 154, 155, 176, 180], "pp": [25, 93, 101, 143], "mark": [25, 36, 76, 106, 118, 120, 172], "recept": [25, 26], "unix": 25, "epoch": 25, "63": [25, 40, 61, 68, 143], "999": [25, 143], "applianc": 26, "mediat": 26, "rom": [26, 138, 176], "dedic": [26, 32, 86, 180], "stamp": [26, 131], "qspi": [26, 51], "reboot": 26, "anyth": [27, 49, 91, 93, 115, 151], "100ge": 27, "25ge": 27, "agi": 27, "fh400g": 27, "pseudo": [27, 40, 59, 176], "occur": [27, 32, 40, 46, 47, 53, 54, 56, 58, 59, 71, 78, 85, 88, 94, 102, 121, 126, 131, 132, 140, 141, 150, 151, 162, 180], "help": [27, 47, 59, 60, 86, 162], "analysi": [27, 145, 146, 147, 150, 151, 154, 155, 156, 157, 165, 166], "seem": [27, 40], "could": [27, 40, 41, 45, 47, 48, 85, 102, 115, 126, 162, 166], "asynchron": [27, 31, 34, 40, 87, 106, 108, 167], "clk": [27, 32, 33, 35, 36, 37, 38, 39, 41, 42, 45, 46, 52, 53, 54, 56, 58, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 105, 109, 110, 111, 112, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 132, 136, 138, 142, 143, 151, 162, 167], "sdc": 27, "reconfigur": [27, 178, 179, 182], "25g": 27, "91": 27, "134": 27, "10ge": [27, 154, 155], "profile_swap": 27, "swap": [27, 100], "five": [27, 74, 93, 102, 158, 163, 168], "s_ch": 27, "start_channel": 27, "ch": 27, "_start": 27, "channel_": 27, "treatment": 27, "choic": [27, 35, 168], "s_p": 27, "start_profil": 27, "appli": [27, 33, 48, 76, 85, 86, 87, 91, 93, 94, 126, 131, 132], "e_p": 27, "end_profil": 27, "sp": 27, "_help_": 27, "d": [27, 59, 60, 76, 113], "eth_core_arch": 28, "f_tile": 28, "eth_port_spe": 28, "50": [28, 129, 162, 168], "40": [28, 93], "eth_port_chan": 28, "512b": [28, 60, 93, 131, 135], "2048b": [28, 93], "drop": [28, 69, 71, 76, 81, 83, 129, 130, 168], "overflow": [28, 45, 47, 53, 54, 58, 131, 140, 141], "unmask": [28, 85], "obligatori": [28, 85], "func": [28, 85], "cover": [28, 85, 124], "tbd": 28, "outsid": [28, 47, 84, 180], "brake": 28, "network_mod": 28, "eth_port": 28, "integer_vector": 28, "ehip_port_typ": 28, "eth_port_rx_mtu": 28, "eth_port_tx_mtu": 28, "eth_mac_bypass": 28, "lite": [28, 182], "danger": 28, "qsfp_port": 28, "qsfp_i2c_port": 28, "qsfp_i2c_trist": 28, "region_s": [28, 65, 77, 78, 80, 82, 83, 84, 85, 87, 89, 92, 94, 96, 98, 99, 102, 105, 148, 160, 161, 162, 163], "block_siz": [28, 37, 62, 63, 65, 77, 78, 80, 82, 83, 84, 85, 87, 89, 92, 94, 96, 98, 99, 102, 105, 148, 160, 161, 162, 163], "item_width": [28, 45, 65, 77, 78, 80, 82, 83, 84, 85, 87, 89, 92, 94, 96, 98, 99, 102, 105, 116, 118, 124, 125, 126, 127, 148, 156, 157, 158, 160, 161, 162, 163, 165, 168], "mi_data_width_phi": 28, "mi_addr_width_phi": 28, "lane_rx_polar": 28, "polar": [28, 129], "lane_tx_polar": 28, "dk": 28, "eth_refclk_p": 28, "eth_refclk_n": 28, "eth_rx_p": 28, "eth_rx_n": 28, "eth_tx_p": 28, "eth_tx_n": 28, "qsfp_i2c_scl": 28, "inout": 28, "qsfp_i2c_sda": 28, "qsfp_i2c_sda_i": 28, "qsfp_i2c_scl_i": 28, "qsfp_i2c_scl_o": 28, "qsfp_i2c_sda_o": 28, "qsfp_i2c_dir": 28, "qsfp_modsel_n": 28, "qsfp_lpmode": 28, "qsfp_reset_n": 28, "qsfp_modprs_n": 28, "qsfp_int_n": 28, "activity_rx": 28, "repeater_ctrl": 28, "port_en": 28, "activity_tx": 28, "rx_link_up": 28, "tx_link_up": 28, "rx_mfb_data": [28, 61, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_sof": [28, 61, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_eof": [28, 61, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_sof_po": [28, 65, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_eof_po": [28, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_src_rdy": [28, 61, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 131, 132, 133], "rx_mfb_dst_rdy": [28, 61, 65, 67, 79, 81, 86, 89, 90, 91, 93, 95, 96, 97, 100, 101, 132, 133], "tx_mfb_data": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_sof": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_eof": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_sof_po": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_eof_po": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_src_rdy": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mfb_dst_rdy": [28, 61, 65, 67, 78, 79, 81, 86, 88, 89, 90, 91, 93, 95, 96, 97, 101, 104, 123, 131, 132, 133], "tx_mvb_data": [28, 86, 95, 100, 131], "tx_mvb_vld": [28, 79, 81, 86, 88, 95, 100, 104, 128, 131], "tx_mvb_src_rdy": [28, 79, 81, 86, 88, 95, 100, 104, 128, 131], "tx_mvb_dst_rdy": [28, 79, 81, 86, 88, 95, 100, 104, 128, 131], "mi_clk_phi": 28, "mi_reset_phi": 28, "mi_dwr_phi": 28, "mi_addr_phi": 28, "mi_rd_phi": 28, "mi_wr_phi": 28, "mi_be_phi": 28, "mi_drd_phi": 28, "mi_ardy_phi": 28, "mi_drdy_phi": 28, "mi_clk_pmd": 28, "mi_reset_pmd": 28, "mi_dwr_pmd": 28, "mi_addr_pmd": 28, "mi_rd_pmd": 28, "mi_wr_pmd": 28, "mi_be_pmd": 28, "mi_drd_pmd": 28, "mi_ardy_pmd": 28, "mi_drdy_pmd": 28, "tsu_rst": 28, "tsu_ts_dv": [28, 131], "async_bus_handshak": [30, 32], "cross": [30, 48, 57, 59, 87, 108, 162, 180], "handshak": [30, 126, 176], "significantli": [30, 32, 57], "dual": [30, 34, 57, 72, 177], "async_gener": 30, "rise": [30, 57, 59, 165, 176], "fall": [30, 34, 103, 106, 115, 140, 141], "async_open_loop": 30, "simpler": [30, 40, 177], "ratio": 30, "async_open_loop_smd": 30, "open_loop": 30, "set_max_delai": 30, "counter": [30, 40, 45, 53, 56, 58, 59, 66, 68, 69, 71, 73, 74, 75, 78, 88, 91, 97, 121, 129, 131, 133, 168, 176, 180], "grai": 30, "async_reset": [30, 180], "deactiv": 30, "jakub": 30, "cabal": 30, "bachelor": 30, "thesi": [30, 45, 47, 141], "2014": 30, "2015": 30, "ram": [31, 34, 42, 44, 54, 62, 63, 64, 66, 68, 72, 73, 75, 108, 124], "multiplex": [31, 45, 122, 125, 176], "encod": [31, 129, 150, 166, 176], "decod": [31, 70, 113, 129, 176, 183], "dsp": [31, 73, 75, 133, 142, 143, 176], "shift": [31, 35, 37, 47, 51, 80, 82, 105, 106, 112, 114, 124, 127, 132, 143, 172, 176], "miscellan": 31, "easier": [32, 40, 76, 115, 149], "uniform": [32, 145, 156, 157, 162], "sythesi": 32, "languag": [32, 162], "object": [32, 145, 148, 154, 155, 156, 157, 158, 160, 161, 166, 167], "complex": [32, 40, 47, 66, 68, 75, 93, 94, 140, 154, 155], "compos": [32, 102, 143, 165], "recurs": 32, "bundl": 32, "recomend": [32, 46], "exception": 32, "subdirectori": 32, "while": [32, 40, 46, 55, 94, 106, 113, 131, 132, 151, 167, 180], "serv": [32, 73, 74, 85, 102, 129, 138, 146, 147, 150, 162, 176], "begin": [32, 40, 61, 65, 67, 79, 81, 93, 102, 115, 132, 148, 149, 151, 160, 161, 162, 167], "package_nam": 32, "sooner": 32, "preserv": 32, "verilog": 32, "scope": [32, 50], "distinguish": [32, 76, 93, 102], "commonli": [32, 113, 151, 152, 162, 168], "git": [32, 55], "pars": [32, 70, 162, 183], "systemverilog": [32, 185], "dpi": 32, "doesn": [32, 114, 115, 131, 148, 151, 158, 160, 161, 162], "t": [32, 40, 45, 48, 53, 59, 60, 93, 102, 113, 114, 115, 129, 131, 143, 148, 151, 158, 160, 161, 162, 166], "prefix": [32, 50, 76, 162], "lib": 32, "nor": [32, 45, 94, 113, 176], "suffix": [32, 76, 85, 162], "dll": 32, "filenam": 32, "predefin": [32, 115, 145, 151, 156, 157], "everi": [32, 40, 53, 54, 59, 62, 67, 70, 72, 93, 101, 102, 132, 145, 150, 156, 157, 162, 164, 168], "Their": [32, 126], "ancestor": 32, "prefer": [32, 93], "myfil": 32, "better": [32, 34, 42, 44, 45, 50, 59, 90, 97, 103, 112, 113, 116, 121, 123, 132, 151, 162], "faster": 32, "another_lib": 32, "sim_modul": 32, "glbl": 32, "overrid": [32, 45, 101], "constr_quartu": 32, "constr_vivado": 32, "vivado_ip_xact": 32, "xci": 32, "scoped_to_ref": 32, "set_properti": 32, "processing_ord": 32, "used_in": 32, "vivado_set_properti": 32, "vsim": [32, 162], "extra_modul": 32, "testbench": [32, 168], "sim_lib": 32, "extra_librari": 32, "dp_bmem_behav": 32, "quiet": 32, "file_typ": 32, "vhdl98": 32, "bus_handshak": 32, "xdc": 32, "late": 32, "make_param": 32, "hfe": [32, 168], "hfe_top": 32, "reli": 32, "file_to_work": 32, "anotherlib": 32, "file_to_anotherlib": 32, "hfe_empti": 32, "hfe_pip": 32, "hfe_pars": 32, "hfe_ful": 32, "anywher": [32, 93], "synth": 32, "synthes": 32, "top_level_": 32, "ofm_path": [32, 55, 162], "top_level_path": 32, "top_level_archgrp": 32, "clk_port": 32, "clk_period": [32, 162, 168], "float": [32, 131, 133], "unspecifi": 32, "formula": [32, 93], "lazi": 32, "rx_clk": [32, 101, 103, 107, 131, 133, 151], "tx_clk": [32, 101, 103, 107, 131, 133], "500": [32, 164, 168], "000": [32, 35, 46, 48, 142, 143], "phoni": 32, "comp_": 32, "fetch": 32, "quartust": 32, "constr_text": 32, "leav": [32, 93, 115, 124], "untouch": 32, "unchang": [32, 41, 75, 93, 132], "targ": 32, "synthesis": 32, "date": 32, "rebuild": 32, "proc": 32, "target_default": 32, "practic": [32, 93, 102, 162], "scheme": [32, 68, 74, 75], "synthfil": 32, "varabl": 32, "neccessari": 32, "interpret": [32, 125], "tune": 32, "nb_main": 32, "entri": [32, 74, 128], "fine": [32, 76], "tunnig": 32, "extra": [32, 131, 133, 162, 172], "program": 32, "being": [32, 41, 55, 85, 93, 94, 97, 102, 113, 114, 126, 131, 133, 148, 160], "callback": 32, "modelsim": [32, 115, 162], "fdo": [32, 35], "impact": [32, 93], "vhdl2008": 32, "newli": [32, 45, 46, 113], "At": [32, 45, 49, 86, 93, 94, 106, 113, 140, 146, 147, 162], "explicit": 32, "deserv": 32, "explan": 32, "awar": [32, 93], "none": [32, 35, 46, 68, 92, 93, 105, 122], "remad": 32, "suppli": 32, "gather": 32, "mk": 32, "approach": [32, 93, 113, 162], "hide": 32, "caveat": 32, "unreli": 32, "henc": [32, 85, 93, 113], "shadow": [32, 132], "real": [32, 132, 134, 143], "aren": [32, 115], "export": [32, 146, 147, 148, 150, 158, 160, 161], "subprocess": 32, "except": [32, 45, 70, 82, 154, 155], "keyword": 32, "tclsh": 32, "he": [32, 40, 45, 165, 168], "user_env": 32, "necess": 32, "trigger": [32, 34, 35, 36, 48, 101, 103, 105, 107, 127], "ttarget_": 32, "starget_": 32, "target_myproc": 32, "ttarget_myproc": 32, "stem": 32, "bare": 32, "ttarget": 32, "starget": 32, "best": [32, 75, 116], "dt": [32, 134], "dtb": [32, 134], "neither": [32, 45, 94, 113], "synth_onli": 32, "skip": [32, 85], "phase_sav": 32, "archiv": [32, 39], "virtex7": [32, 135], "famili": 32, "xcvu7p": 32, "flvb2104": 32, "setup_flag": 32, "use_xpm_librari": 32, "xpm_cdc": 32, "xpm_memori": 32, "xpm_fifo": 32, "dsp_compar": 33, "blok": 33, "input_data_width": 33, "unlimit": 33, "input_regs_en": 33, "1st": [33, 93, 102], "2nd": [33, 93, 102], "smaller": [33, 59, 69, 94, 167], "dsp_enabl": 33, "7seri": [33, 34, 35, 42, 43, 44, 64, 85, 88, 90, 92, 95, 105, 112, 117, 124, 127, 139], "clk_en": [33, 149], "input_1": 33, "comparison": [33, 151], "input_2": 33, "01": [33, 113, 166], "dec": [33, 133], "suitabl": [34, 162, 172], "altera": [34, 112], "lutram": [34, 128], "mlab": 34, "data_width": [34, 35, 36, 39, 41, 42, 43, 44, 56, 76, 108, 109, 111, 112, 113, 115, 117, 120, 122, 144, 154, 155, 158, 162, 164, 166, 168], "depth": [34, 35, 42, 44, 55, 73, 77, 79, 103, 105, 107, 119, 122, 124, 127], "ram_typ": [34, 35, 36, 103, 105, 108, 127], "lut": [34, 35, 73, 103, 105, 108, 124, 127, 172, 177], "effect": [34, 36, 90, 103, 105, 124, 127, 129, 172, 177], "shallow": [34, 103], "approx": [34, 103], "fwft_mode": [34, 103], "rd_en": [34, 42, 44, 72, 103], "output_reg": [34, 37, 42, 44, 84, 103, 118, 119, 120, 128, 136], "flip": [34, 103], "flop": [34, 103], "arria10": [34, 35, 42, 44, 105, 124, 127], "almost_full_offset": [34, 35, 36, 103, 105, 127], "free": [34, 35, 36, 47, 77, 103, 105, 106, 107, 127, 129, 133, 140, 141, 149], "wr_aful": [34, 103], "currently_stor": [34, 35, 36], "almost_empty_offset": [34, 35, 36, 103, 105, 127], "rd_aempti": [34, 103], "wr_clk": [34, 44], "wr_rst": [34, 44], "wr_data": [34, 42, 44, 104], "wr_en": [34, 42, 44, 104], "wr_full": 34, "accept": [34, 45, 51, 65, 68, 69, 74, 76, 86, 91, 97, 102, 109, 113, 115, 125, 126, 164, 165], "ignor": [34, 40, 42, 44, 93, 97, 102, 103, 113, 131, 132, 133], "dst_rdy": [34, 76, 102, 106, 117, 125, 126, 130, 140, 141, 148, 160, 161, 162, 163, 165, 176], "wr_statu": 34, "rd_clk": [34, 44], "rd_rst": [34, 44], "rd_data": [34, 42, 44, 72], "rd_empti": 34, "rd_statu": 34, "implicit": 35, "delai": [35, 45, 48, 63, 85, 93, 113, 151, 164, 167], "wr": [35, 36, 59, 101, 106, 113, 164], "di": [35, 36, 43, 100, 151, 176], "aful": [35, 36, 127], "aempti": [35, 36, 127], "least": [35, 47, 59, 84, 109, 114, 117, 130], "fifox_ram_typ": [35, 36], "what": [35, 105, 115, 124, 127, 148, 162, 168], "fake_fifo": [35, 127], "straight": [35, 93, 94, 127], "note": [35, 40, 61, 77, 78, 85, 97, 102, 113, 115, 126, 148, 158, 160], "example1": 35, "uram": [35, 105, 124, 127, 172, 177], "72": [35, 105, 124, 127], "288": 35, "rd": [35, 36, 59, 101, 113, 164], "todo": [35, 61, 68, 74, 131, 162, 176, 180], "p\u0159idat": 35, "blokov\u00fd": 35, "komponenti": 35, "coverag": 35, "uncom": 35, "top_level": [35, 162], "scoreboard": [35, 151], "random": [35, 59, 115, 121, 145, 148, 149, 150, 152, 154, 155, 156, 157, 158, 160, 161, 162, 164, 165, 166, 167, 168, 176], "verifi": [35, 85, 88, 149, 162], "classic": 35, "3th": 35, "fifox_multi": [36, 86, 172], "fit": [36, 40, 46, 47], "amount": [36, 42, 47, 67, 78, 86, 89, 93, 102, 117, 125, 126, 149, 150, 166, 177], "due": [36, 59, 68, 89, 94, 97, 131, 133, 151], "nearest": [36, 86], "write_port": [36, 42, 43], "read_port": [36, 42, 43], "shakedown": [36, 121, 124, 181], "spent": 36, "allow_single_fifo": 36, "lead": [36, 47, 90, 94, 101], "safe_read_mod": 36, "safe": [36, 45, 108], "attempt": [36, 93], "wors": 36, "confirm": [36, 45, 49, 64, 180], "invalid": [36, 50, 86, 93, 94, 113, 126, 136, 164], "0001": [36, 126, 137, 139], "0011": [36, 137], "0111": [36, 137], "1111": [36, 137], "permut": 36, "forbidden": [36, 41, 76, 176], "barrel_shifter_gen": [37, 132], "shift_left": 37, "data_in": [37, 39, 41], "data_out": [37, 39], "sel": [37, 58], "barrel_shifter_gen_pip": 37, "block_width": [37, 42, 44], "bar_shift_lat": 37, "input_reg": [37, 117], "metadata_width": [37, 42, 44, 45, 103], "rotat": [37, 45], "rx_sel": [37, 117], "unus": [37, 44, 76, 109, 143, 180], "mux_lat": 37, "rx_data": [37, 76, 80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 121, 122, 124, 125, 127], "rx_metadata": 37, "rx_src_rdy": [37, 76, 80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 121, 122, 124, 125, 127], "rx_dst_rdy": [37, 76, 80, 82, 83, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 121, 122, 124, 125, 127], "tx_data": [37, 76, 80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 119, 121, 122, 124, 125, 127], "tx_metadata": 37, "tx_src_rdy": [37, 76, 80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 119, 121, 122, 124, 127, 132], "tx_dst_rdy": [37, 76, 80, 82, 83, 85, 86, 92, 94, 98, 99, 103, 105, 107, 116, 117, 118, 119, 121, 122, 124, 127, 132], "cnt_multi_memx": [38, 176], "traget": [38, 66, 73], "cnt_width": 38, "inc_width": 38, "inc_fifo_s": 38, "inc_ch": 38, "inc_val": 38, "inc_vld": 38, "inc_rdi": 38, "rst_ch": 38, "rst_vld": 38, "rd_ch": 38, "rd_vld": 38, "old": [38, 86, 88, 143, 177, 180], "appear": [38, 94, 102, 106, 132], "rd_val": 38, "h3_hash": [39, 176], "interest": [39, 145, 156, 157], "paper": 39, "http": [39, 55, 154, 155], "www": 39, "c": [39, 59, 113, 162], "princeton": 39, "edu": 39, "cours": [39, 46, 111], "fall09": 39, "cos521": 39, "handout": 39, "universalclass": 39, "pdf": [39, 53, 60], "hash_width": 39, "h3_type": 39, "precomput": 39, "h3_pack": 39, "h3c_": 39, "input_width": [39, 54], "output_width": 39, "h3c_64x16": 39, "h3c_64x22": 39, "h3c_22x11": 39, "h3c_256x64": 39, "out_reg": 39, "data_in_vld": 39, "data_in_rdi": 39, "data_out_vld": 39, "data_out_rdi": 39, "parallel": [40, 45, 47, 56, 86, 114, 140, 176, 180], "friendli": 40, "reason": [40, 45, 46, 47, 76, 94, 131, 133, 140, 162, 167], "why": [40, 113, 162, 167], "solv": [40, 45, 49, 93, 120, 176], "yourself": [40, 47], "consecut": [40, 46, 93, 102, 126, 132, 145, 156, 157], "put": [40, 87, 98, 106, 124, 162], "asid": 40, "fast": [40, 154, 155, 176], "recent": 40, "complic": [40, 94, 114, 164], "nessesari": 40, "collis": [40, 45, 54], "np_lutram": [40, 43, 176, 177], "quadrat": 40, "consumpt": [40, 88, 115], "demonstr": [40, 85, 102, 126], "sai": [40, 45, 94, 113, 154, 155, 166, 172], "i0": 40, "i1": 40, "i2": 40, "decrement": [40, 164], "iset": 40, "cnt_next": 40, "cnt": [40, 53, 58, 59, 176], "sinc": [40, 45, 46, 47, 72, 94, 97, 106, 140], "worst": [40, 47], "might": [40, 45, 49, 93, 94, 106, 113, 114, 115, 120, 162], "came": 40, "But": [40, 47, 93, 102, 113, 164], "infrom": 40, "alon": 40, "deduc": 40, "whether": [40, 44, 48, 86, 91, 93, 97, 102, 106, 113, 131, 133, 143, 162, 164, 180], "inrement": 40, "luckili": 40, "i0_incr": 40, "i1_incr": 40, "i2_incr": 40, "i0_deccr": 40, "i1_deccr": 40, "i2_deccr": 40, "op_item_sel": 40, "op_oper": 40, "operatio": 40, "op_meta": 40, "don": [40, 45, 48, 59, 113, 115, 131, 162, 166], "op_": 40, "u": [40, 46, 115, 162], "later": [40, 46, 70, 113, 124, 144], "generali": 40, "op_in_": 40, "op_out_data": 40, "someth": [40, 151], "operators_pr": 40, "op_in_sel": 40, "op_in_src": 40, "op_in_op": 40, "op_in_data": 40, "op_in_meta": 40, "tmp": [40, 53], "op_data_out": 40, "op_data_in": 40, "overwrit": [40, 86, 93, 113], "join": [40, 94, 162], "reciev": [40, 111, 113], "quick_reset_en": 40, "reset_v": 40, "sr_sync_latch": [41, 176], "synchrnou": 41, "enhanc": 41, "assert": [41, 57, 59, 61, 86, 91, 96, 97, 101, 102, 109, 113, 117, 126, 129, 131, 133, 146, 147, 154, 155, 162, 164, 165, 168], "behav": [41, 72, 74, 85, 148, 158, 160, 161, 162, 165], "deassert": [41, 102, 109, 113, 126, 132, 168], "arbitrari": [41, 44, 45, 48, 66, 85, 89, 94, 101, 113, 126, 132, 162, 180], "driven": [41, 48], "pure": [41, 142, 151], "combinatori": 41, "circuit": 41, "advantag": [41, 45, 115, 162], "meet": [41, 93, 132], "closur": 41, "latch_out": 41, "clear": [41, 54, 77, 102, 113, 131, 133, 168, 176], "usag": [41, 48, 57, 59, 60, 88, 140, 152, 176], "omit": [41, 93], "mp_bram": [42, 177], "restrict": [42, 45, 66, 68, 75, 80, 82, 92, 93, 102, 105, 162, 177], "block_en": [42, 44], "4096": [42, 55], "9": [42, 44, 53, 58, 71, 73, 100, 115, 129, 142, 143], "throw": [42, 44], "replic": 42, "wr_be": [42, 44], "wr_addr": [42, 44, 104], "rd_data_vld": [42, 44], "rd_pipe_en": [42, 44], "rd_meta_in": [42, 44], "rd_addr": [42, 44, 72], "rd_meta_out": [42, 44], "wclk": 43, "addra": 43, "addrb": 43, "dob": 43, "sdp_bram": [44, 177], "common_clock": 44, "sdp_bram_b": 44, "abstract": [44, 162], "effectiv": 44, "src_buf": [45, 180], "dst_buf": [45, 180], "tran": [45, 140, 180], "tick": [45, 52, 56, 58, 59, 93, 168], "overlap": [45, 59], "plan": [45, 47], "track": 45, "progress": 45, "data_dir": 45, "use_clk2": 45, "use_clk_arb": 45, "planner": [45, 78, 101, 180], "crossbar": 45, "clk_arb": [45, 101], "spike": 45, "trans_stream": 45, "buf_a_col": 45, "column": [45, 115], "buf_a_stream_row": 45, "row": [45, 93], "buf_b_col": 45, "buf_b_row": 45, "buf_a_sect": 45, "buf_b_sect": 45, "row_item": 45, "transs": 45, "trans_mtu": 45, "trans_fifo_item": 45, "await": 45, "trans_comp_": 45, "moment": [45, 93, 131, 133, 148, 160], "never": [45, 47, 85, 93, 113, 167], "color_timeout_width": 45, "color": [45, 115], "timeout": [45, 88, 121, 151], "expir": 45, "color_conf_delai": 45, "greater": [45, 77, 86, 101, 124, 131, 143, 165], "averag": [45, 47, 52, 53, 58, 68, 74, 101], "rd_latenc": 45, "data_mux_lat": 45, "data_mux_outreg_en": 45, "data_rot_lat": 45, "data_rot_outreg_en": 45, "arriv": [45, 49, 85, 106, 131, 132, 146, 147, 180], "new_rx_tran": 45, "rx_uinstr_src_rdi": 45, "break": [45, 125, 144, 162, 163], "dir": [45, 154, 155, 166], "dimens": [45, 154, 155, 166], "IN": [45, 154, 155, 166], "clk2": [45, 101, 176], "reset_arb": 45, "trans_a_col": 45, "trans_a_item": 45, "trans_b_col": 45, "trans_b_item": 45, "trans_len": 45, "trans_meta": 45, "trans_vld": 45, "trans_src_rdi": 45, "trans_dst_rdi": 45, "src_buf_rd_addr": 45, "src_buf_row": 45, "src_buf_col": 45, "src_buf_rd_data": 45, "dst_buf_wr_addr": 45, "dst_buf_row": 45, "dst_buf_col": 45, "dst_buf_wr_data": 45, "dst_buf_wr_i": 45, "dst_buf_wr_en": 45, "trans_comp_meta": 45, "trans_comp_src_rdi": 45, "trans_comp_dst_rdi": 45, "fifox": [45, 47, 49, 74, 79, 90, 95, 119, 124, 140, 162, 172, 178, 181], "compli": 45, "wide": [45, 46, 76, 94, 112, 113, 115, 134], "od": 45, "somewher": [45, 47, 148, 158, 160], "desctin": 45, "preprocess": 45, "disect": 45, "atom": [45, 131], "long": [45, 48, 49, 61, 102, 106, 113, 180], "colid": 45, "subset": [45, 97], "sorter": 45, "elimin": [45, 47, 141], "starvat": 45, "conform": 45, "bear": 45, "fashion": 45, "intend": [45, 129], "jan": [45, 47, 141], "kubalek": [45, 47, 141], "2019": [45, 47, 141], "20": [45, 47, 59, 86, 129, 141, 151, 162], "interv": [46, 93], "accumult": 46, "concurr": 46, "max_concurrent_ev": 46, "older": [46, 85], "reffer": 46, "view": 46, "abl": [46, 47, 51, 52, 83, 106, 113, 126, 131, 133, 140, 162, 180], "evolut": 46, "rough": 46, "lot": [46, 125, 162, 167], "exactli": [46, 59, 93, 122], "afterward": 46, "capture_en": 46, "capture_fifo_item": 46, "pop": 46, "traffic": [46, 55, 91, 93, 145, 154, 155, 156, 157, 162, 166], "plot": 46, "graph": [46, 53, 59, 60], "mfb_auxiliary_sign": [46, 99], "sum": [46, 47, 53, 58, 86, 119], "vector": [46, 73, 100, 102, 110, 124, 126, 148, 152, 158, 160, 164, 165, 176, 180], "quantum": 46, "accumul": [46, 47, 86, 91, 93, 97, 149, 150], "digit": 46, "024": 46, "milisecond": 46, "event_counter_mi_wrapp": 46, "max_interval_cycl": 46, "sequenc": [46, 51, 59, 129, 144, 151, 152, 163, 164, 167, 168], "1024": [46, 76, 101, 138, 148, 158, 160, 161, 168], "mi_width": [46, 66, 68, 73, 74, 75], "mi_interval_addr": 46, "to_unsign": [46, 61], "mi_events_addr": 46, "mi_cpt_en_addr": 46, "mi_cpt_rd_addr": 46, "mi_addr_mask": 46, "inter": [47, 133, 151, 162, 180], "align": [47, 51, 61, 65, 67, 76, 86, 94, 102, 114, 119, 123, 126, 129, 132, 139, 162, 180], "pointer": [47, 62, 63, 64, 66, 68, 70, 71, 73, 74, 75, 93, 104, 162], "deficit": [47, 101, 133, 180], "idl": [47, 93, 101, 126, 129, 133, 149, 150, 166, 180], "algorithm": [47, 100, 101, 116, 151, 162, 180], "freed": [47, 140], "meant": [47, 106, 113], "infinit": 47, "pakcet": 47, "linear": [47, 58], "origin": [47, 49, 80, 82, 85, 90, 94, 114, 180], "global_out_en": 47, "stream_out_en": 47, "heavili": 47, "appart": [47, 94, 141], "reg0": [47, 49], "reg4": 47, "aproxim": 47, "bottleneck": 47, "og": 47, "reduct": [47, 94], "planned_pkt": 47, "cost": 47, "stream_out_aful": 47, "global_out_aful": 47, "save": [47, 53, 57, 60, 123, 124, 154, 155, 162, 165, 176], "ca": 47, "pulse_short": [48, 180], "shorten": [48, 80, 180], "bclk": 48, "outuput": [48, 71], "arbirarili": 48, "delay_count": 48, "cdc": 48, "async_mask": 48, "048": 48, "think": 48, "throgh": 48, "rst": [48, 52, 53, 54, 56, 59, 60, 61, 65, 67, 78, 89, 96, 105, 132, 162], "en": 48, "aclk": 48, "pulse_out": 48, "durat": [48, 56, 97], "sorag": 49, "correspons": 49, "happen": [49, 54, 84, 86, 106, 124, 162], "deem": 49, "plu": [49, 84, 179], "minu": 49, "down": [49, 93, 114, 115, 132], "crossbarx": [49, 133, 178, 180], "dma_bus_pack": 50, "lenght": 50, "dword": [50, 72, 134, 135, 136, 138, 139], "4b": [50, 134], "firstib": 50, "BE": [50, 93, 113, 114, 168], "lastib": 50, "unitid": 50, "64b": [50, 143], "vfid": 50, "pasid": 50, "granular": 50, "pasidvld": 50, "tlp": [50, 136], "relax": [50, 139], "bridg": [51, 59], "secur": [51, 175], "mailbox": [51, 162], "peripher": 51, "temperatur": [51, 180], "sensor": 51, "voltag": [51, 180], "quad": 51, "rsu": 51, "remot": [51, 55], "32bit": [51, 162], "unalign": [51, 76, 94], "span": [51, 102], "argument": [51, 59, 60, 162], "waitrequest": [51, 109], "ground": 51, "capac": 51, "interrupt": [51, 59, 132, 180], "irq": 51, "ier": 51, "isr": 51, "mi2avmm": [51, 179], "wrap": [52, 53, 59, 149], "around": [52, 94, 114, 115, 140, 141], "log": [52, 53, 61, 162], "latency_met": [52, 56], "mem_burst_count_width": 52, "mem_freq_khz": 52, "histogram_box": [52, 59], "255": [52, 76, 129], "precis": [52, 54, 59, 76, 91, 97, 143], "max_paralel_read": 52, "paral": 52, "latency_ticks_width": 52, "rst_done": [52, 53, 54], "mem_readi": 52, "mem_read": 52, "mem_writ": 52, "mem_address": 52, "mem_read_data": 52, "mem_write_data": 52, "mem_burst_count": 52, "mem_read_data_valid": 52, "mem_logger_i": 52, "mem_mi_dwr": 52, "mem_mi_addr": 52, "mem_mi_b": 52, "mem_mi_rd": 52, "mem_mi_wr": 52, "mem_mi_ardi": 52, "mem_mi_drd": 52, "mem_mi_drdi": 52, "event": [53, 56, 108, 154, 155, 180], "occurr": [53, 113, 150], "submit": 53, "maxim": [53, 58, 59, 131], "box": [53, 54], "ctrlo": 53, "ctrli": 53, "cnter_cnt": 53, "value_cnt": 53, "ctrlo_width": 53, "ctrli_width": 53, "cnter_width": 53, "value_width": 53, "i_array_t": [53, 115], "min_en": 53, "b_array_t": [53, 115], "max_en": 53, "sum_en": 53, "hist_en": 53, "sum_extra_width": 53, "hist_box_cnt": 53, "hist_box_width": 53, "ctrlo_default": 53, "instrfac": 53, "sw_rst": 53, "cnters_incr": 53, "cnters_submit": 53, "sumbit": 53, "cnters_diff": 53, "values_vld": 53, "data_logger_i": 53, "cnter_incr_2": 53, "cnter_incr_1": 53, "cnter_incr_0": 53, "cnter_diff_2": 53, "cnter_diff_1": 53, "cnter_diff_0": 53, "cnter_submit_2": 53, "cnter_submit_1": 53, "cnter_submit_0": 53, "value_vld_2": 53, "value_vld_1": 53, "value_vld_0": 53, "value_2": 53, "value_1": 53, "value_0": 53, "interact": [53, 93, 113], "matplotlib": 53, "markdown": [53, 59], "ctrl": [53, 57, 58, 59, 131, 169, 180], "0x0004": [53, 59], "stat": [53, 84, 131, 133], "0x0008": [53, 59], "0x000c": [53, 59], "slice": [53, 57, 172, 176], "0x0010": [53, 59], "hist": [53, 58], "0x0014": [53, 59], "value_en": 53, "cnter": 53, "histogramm": 53, "sequenti": [54, 59, 162], "given": [54, 55, 56, 93, 97, 102, 126, 162], "box_width": 54, "probabl": [54, 148, 158, 160], "box_cnt": 54, "read_prior": 54, "clear_by_read": 54, "eras": [54, 106], "clear_by_rst": 54, "input_vld": 54, "read_req": 54, "read_addr": 54, "adress": [54, 70, 74, 109], "read_box_vld": 54, "read_box": 54, "histogrammer_i": 54, "signaltap": 55, "etherlink": 55, "occupi": [55, 115, 126], "distinct": 55, "subpart": 55, "0x1800": 55, "2048": [55, 91, 97], "16384": [55, 131, 133], "jtag_op_cli": 55, "suffici": [55, 102, 132], "gain": [55, 132], "stp": 55, "analyz": [55, 86, 131], "altern": [55, 177], "chain": [55, 115, 176], "broken": [55, 138], "virtual_debug_en": 55, "download": [55, 148], "prebuilt": 55, "github": 55, "clone": [55, 162], "hak": 55, "pkg": [55, 162, 168], "branch": 55, "com": [55, 113], "cmake": 55, "bbuild": 55, "cpack": 55, "su": 55, "usr": 55, "bin": [55, 115, 162], "jtag_op_mgmt": 55, "privileg": 55, "machin": [55, 150], "jtagconfig": 55, "ss": 55, "Be": 55, "sure": [55, 93, 113, 149], "unregist": 55, "pane": 55, "scan": 55, "enjoi": 55, "life": 55, "max_paralel_ev": 56, "start_ev": 56, "end_ev": 56, "latency_vld": 56, "fifo_ful": 56, "curent": 56, "fifo_item": [56, 103, 107], "latency_meter_i": 56, "dp_bram": [57, 177], "burst_cnt": [57, 60], "amm_data_width": [57, 59], "edge_detect": [57, 176], "fsm": [57, 59, 132], "buff": [57, 59, 60], "depreci": [58, 59, 60], "listen": 58, "req": [58, 162, 165, 167, 168], "0x14": [58, 78, 93, 111, 131, 133, 134, 143], "0x18": [58, 78, 93, 115, 131, 133, 134, 143], "0x1c": [58, 78, 131, 133, 134, 143], "0x24": [58, 78, 131, 133, 143], "0x28": [58, 131, 133], "0x34": [58, 131], "0x38": [58, 131], "khz": [58, 59], "0x44": 58, "0x48": 58, "ddr": 59, "failur": 59, "workflow": 59, "realist": [59, 85], "mi_async": [59, 108], "adn": 59, "amm_gen": [59, 60], "amm_addr_width": 59, "amm_burst_count_width": 59, "rand_gen_data_width": 59, "seri": [59, 74, 137, 176], "alow": 59, "se": [59, 65], "lfsr_simple_random_gen": [59, 176], "rand_gen_addr_width": 59, "amm_addr": 59, "random_data_se": 59, "seed": 59, "random_addr_se": 59, "resiz": [59, 94, 141], "3fbf807": 59, "refr_req_before_test": 59, "refr_period_width": 59, "def_refr_period": 59, "amm_probe_en": 59, "default_burst_cnt": 59, "default_addr_limit": 59, "shoud": 59, "debug_rand_addr": 59, "forc": 59, "amm_clk": 59, "amm_rst": 59, "amm_readi": 59, "amm_read": 59, "amm_writ": 59, "amm_address": 59, "amm_read_data": 59, "amm_write_data": 59, "amm_burst_count": 59, "amm_read_data_valid": 59, "refr_period": 59, "refr_req": 59, "refr_ack": 59, "emif_ecc_isr": 59, "whenev": [59, 85, 96, 162], "mi_rst": 59, "print": [59, 60, 145, 151, 154, 155, 156, 157, 162, 166, 168], "concret": 59, "manuali": 59, "h": [59, 60, 141, 151], "logger": [59, 170], "scale": [59, 125], "gen_burst": 59, "messag": [59, 151, 162], "exit": 59, "relat": [59, 102, 104, 162], "rand": [59, 60, 162], "simult": 59, "16777215": 59, "67108860": 59, "137": [59, 168], "03": 59, "66": [59, 129], "41": 59, "80": [59, 76], "250": [59, 75], "75": [59, 74], "1393": 59, "1643": 59, "97": 59, "630": 59, "69": 59, "16165552": 59, "87": 59, "62962": 59, "99": 59, "241581": 59, "111": [59, 142, 143], "128501": 59, "147": 59, "153": 59, "435": 59, "441": 59, "50118": 59, "453": 59, "459": 59, "465": 59, "471": 59, "477": 59, "2570": 59, "483": 59, "489": 59, "495": 59, "62961": 59, "501": 59, "573": 59, "579": 59, "627": 59, "633": 59, "autom": 59, "Will": 59, "tb": 59, "short": [59, 132, 133], "test_mem_test": 59, "report_gen": 59, "pandoc": 59, "texliv": 59, "latex": 59, "engin": [59, 162], "yum": 59, "mem_tester_report": [59, 60], "fig": [59, 60], "raw": [59, 60], "json": 59, "mem_tester_mi": 59, "mi_splitter_plus_gen": [59, 113, 115], "amm_mux": 59, "err": 59, "0x0018": 59, "0x0040": 59, "react": 59, "amm_prob": 59, "seq": [60, 145, 156, 157, 162], "burst_id": 60, "hexa": 60, "vld": [60, 120, 162, 165], "sv": [60, 152, 162, 168], "xml": 60, "rx_dma_hdr_insertor": 61, "bar_aperture_intel": 61, "pcie_mfb_meta_arr": 61, "pcie_cq_meta_bar": 61, "rx_region_s": [61, 67, 94, 131, 133], "rx_block_siz": [61, 67, 94, 131, 133], "rx_item_width": [61, 67, 94, 131, 133], "tx_region": [61, 94, 98, 131, 133], "tx_region_s": [61, 94, 131, 133], "tx_block_siz": [61, 94, 131, 133], "tx_item_width": [61, 94, 119, 131, 133], "pkt_size_max": [61, 66, 68, 69, 71, 73, 74, 104], "eof_po": [61, 94, 163], "sof_po": [61, 67, 132, 163], "tx_mfb_meta": [61, 78, 86, 88, 89, 90, 91, 93, 96, 97, 101, 104, 123], "hdrm_pcie_hdr_data": 61, "127": [61, 76], "hdrm_pcie_hdr_typ": 61, "dw": [61, 139], "hdrm_pcie_hdr_src_rdy_data_tran": 61, "hdrm_pcie_hdr_src_rdy_dma_hdr": 61, "hdrm_pcie_hdr_dst_rdi": 61, "hdrm_dma_chan_num": 61, "hdrm_pkt_drop": 61, "hdrm_dma_hdr_data": 61, "hdrm_dma_hdr_src_rdi": 61, "hdrm_dma_hdr_dst_rdi": 61, "hdrm_pkt_cntr_chan": 61, "hdrm_pkt_sent_inc": 61, "hdrm_pkt_disc_inc": 61, "hdrm_pkt_size": 61, "addr_manag": 62, "ring": [62, 63, 64], "cna": 62, "addr_width": [62, 63, 64, 108, 109, 110, 111, 112, 113, 115, 162, 164], "pointer_width": [62, 63, 64, 66, 68, 70, 72], "number_of_item": [62, 63, 64], "addr_channel": [62, 63], "addr_bas": [62, 63], "addr_mask": [62, 63, 110, 115], "righ": [62, 63], "number_of_max_item": [62, 63], "addr_sw_point": [62, 63], "pointer_update_chan": [62, 63], "pointer_update_data": [62, 63], "pointer_update_en": [62, 63], "FOR": [62, 63, 180], "channel_vld": 62, "channel_reset": [62, 63], "corespond": [62, 63], "pciex": [62, 63], "addr_vld": 62, "pcie_addr_gen": 63, "acord": 63, "genead": 63, "input_s": 63, "pkt_mtu": [63, 64, 77, 79, 81, 86, 100, 101, 151], "input_disc": 63, "input_channel": 63, "input_src_rdi": [63, 120], "input_dst_rdi": [63, 120], "out_addr": [63, 112], "out_offset": 63, "out_addr_vld": 63, "out_disc": 63, "out_last": 63, "out_first": 63, "out_dst_rdi": 63, "rx_dma_hdr_manag": 64, "fist": [64, 151, 167], "ceil": [64, 93], "pkt_size": 64, "dma_discard": 64, "action": [64, 94], "metadata_s": 64, "start_req_channel": 64, "start_req_vld": [64, 66, 69, 73], "start_req_don": 64, "stop_req_channel": 64, "whic": 64, "stop_req_vld": [64, 66, 69, 73], "stop_req_don": 64, "addr_data_channel": 64, "addr_data_bas": 64, "addr_data_mask": 64, "addr_data_sw_point": 64, "addr_header_channel": 64, "addr_header_bas": 64, "addr_header_mask": 64, "addr_header_sw_point": 64, "hdp_update_chan": 64, "hdp_update_data": 64, "hdp_update_en": 64, "hhp_update_chan": 64, "hhp_update_data": 64, "hhp_update_en": 64, "inf_meta": 64, "inf_channel": 64, "inf_pkt_siz": 64, "inf_vld": 64, "inf_src_rdi": 64, "inf_dst_rdi": 64, "pcie_hdr_siz": 64, "pcie_hdr": 64, "pcie_hdr_vld": 64, "pcie_hdr_src_rdy_data_tran": 64, "pcie_hdr_src_rdy_dma_hdr": 64, "pcie_hdr_dst_rdi": 64, "dma_channel": 64, "dma_hdr": 64, "dma_hdr_vld": 64, "allwai": [64, 88, 94, 130], "dma_hdr_src_rdi": 64, "dma_hdr_dst_rdi": 64, "rx_dma_input_buff": 65, "rx_dma_sw_manag": 66, "descriptor": [66, 68, 75], "sw_addr_width": [66, 68], "recv_pkt_cnt_width": [66, 73], "recv_bts_cnt_width": [66, 73], "disc_pkt_cnt_width": [66, 73], "disc_bts_cnt_width": [66, 73], "discart": [66, 73, 133], "pkt_sent_chan": [66, 71, 73, 104], "pkt_sent_inc": [66, 71, 73], "pkt_sent_byt": [66, 71, 73], "pkt_discard_chan": [66, 73], "pkt_discard_inc": [66, 73], "pkt_discard_byt": [66, 73], "start_req_chan": [66, 69, 73], "start_req_ack": [66, 69, 73], "stop_force_chan": 66, "stop_forc": 66, "stop_req_chan": [66, 69, 73], "stop_req_ack": [66, 69, 73], "enabled_chan": [66, 71, 73], "sdp_rd_chan": 66, "sdp_rd_data": 66, "shp_rd_chan": 66, "shp_rd_data": 66, "hdp_wr_chan": [66, 73], "hdp_wr_data": [66, 73], "hdp_wr_en": [66, 73], "hhp_wr_chan": [66, 73], "hhp_wr_data": [66, 73], "hhp_wr_en": [66, 73], "dba_rd_chan": 66, "dba_rd_data": 66, "hba_rd_chan": 66, "hba_rd_data": 66, "dpm_rd_chan": 66, "dpm_rd_data": 66, "hpm_rd_chan": 66, "hpm_rd_data": 66, "rx_dma_trans_buff": 67, "contol": 67, "successful": 67, "buffered_data_s": 67, "insertor": [67, 68, 129, 178], "propabl": 67, "useless": 67, "figur": [68, 74, 75, 102, 115, 126, 132, 141], "rx_dma_calypt": 68, "user_rx_mfb_region": 68, "user_rx_mfb_region_s": 68, "user_rx_mfb_block_s": 68, "user_rx_mfb_item_width": 68, "pcie_up_mfb_region": 68, "pcie_up_mfb_region_s": 68, "pcie_up_mfb_block_s": 68, "pcie_up_mfb_item_width": 68, "cntrs_width": [68, 74], "hdr_meta_width": [68, 71, 74, 75, 77, 104], "trbuf_fifo_en": 68, "user_rx_mfb_meta_hdr_meta": 68, "user_rx_mfb_meta_chan": 68, "user_rx_mfb_meta_pkt_s": 68, "user_rx_mfb_data": 68, "user_rx_mfb_sof": 68, "user_rx_mfb_eof": 68, "user_rx_mfb_sof_po": 68, "user_rx_mfb_eof_po": 68, "user_rx_mfb_src_rdi": 68, "user_rx_mfb_dst_rdi": 68, "pcie_up_mfb_data": 68, "pcie_up_mfb_meta": 68, "pcie_up_mfb_sof": 68, "pcie_up_mfb_eof": 68, "pcie_up_mfb_sof_po": 68, "pcie_up_mfb_eof_po": 68, "pcie_up_mfb_src_rdi": 68, "pcie_up_mfb_dst_rdi": 68, "multiv": [68, 74, 162], "percentag": [68, 150, 158, 160], "uncov": [68, 162], "unreach": 68, "comun": [68, 167], "conf": [68, 74], "num": [68, 162], "40gb": 68, "32_channel": 68, "1942": 68, "4521": 68, "0038": 68, "62": [68, 69, 70, 71, 72, 139, 168], "6153": 68, "61": 68, "4392": 68, "7494": 68, "opt_fifo_en": 68, "65": 68, "3934": 68, "9822": 68, "9905": 68, "spead": [68, 74], "allwei": [68, 74], "dut": [68, 74, 144, 145, 148, 151, 156, 157, 158, 160, 162, 163, 164, 165, 167, 168], "deviat": [68, 74], "53n": 68, "68n": 68, "57n": 68, "5n": 68, "40n": 68, "1752n": 68, "56n": 68, "63n": 68, "44n": 68, "428n": 68, "294n": 68, "158n": 68, "tx_dma_chan_start_stop_ctrl": 69, "usr_mfb_": 69, "till": [69, 113, 162], "delimit": [69, 70, 74, 149], "pcie_mfb_region": [69, 70], "pcie_mfb_region_s": [69, 70], "pcie_mfb_block_s": [69, 70], "pcie_mfb_item_width": [69, 70], "largest": [69, 72, 74], "usr_mfb": 69, "dbg_signal_width": 69, "pcie_mfb_data": [69, 70, 72], "pcie_mfb_meta": [69, 70, 72], "pcie_mfb_sof": [69, 70, 72], "pcie_mfb_eof": [69, 70, 72], "pcie_mfb_sof_po": [69, 70, 72], "pcie_mfb_eof_po": [69, 70, 72], "pcie_mfb_src_rdi": [69, 70, 72], "pcie_mfb_dst_rdi": [69, 70, 72], "usr_mfb_data": [69, 70, 71], "usr_mfb_meta": 69, "usr_mfb_sof": [69, 70, 71], "usr_mfb_eof": [69, 70, 71], "usr_mfb_sof_po": [69, 70, 71], "usr_mfb_eof_po": [69, 70, 71], "usr_mfb_src_rdi": [69, 70, 71], "usr_mfb_dst_rdi": [69, 70, 71], "tx_dma_sw_manag": [69, 73], "pkt_disc_chan": 69, "pkt_disc_inc": 69, "pkt_disc_byt": 69, "st_sp_dbg_chan": [69, 74, 75], "st_sp_dbg_meta": [69, 74, 75], "dropper": [69, 168, 178], "tx_dma_metadata_extractor": 70, "care": [70, 101, 113, 132, 149], "useful": 70, "pcie_meta_pack": 70, "usr_mfb_meta_byte_en": 70, "usr_mfb_meta_is_dma_hdr": 70, "usr_mfb_meta_pcie_addr": 70, "usr_mfb_meta_chan_num": 70, "usr_mfb_meta_byte_cnt": 70, "depars": [70, 183], "cutter": [70, 131, 141, 178], "tx_dma_pkt_dispatch": 71, "accor": 71, "did": [71, 131], "mfb_region_s": [71, 72, 79, 81, 86, 89, 90, 91, 93, 97, 100, 101, 104, 107, 123, 135, 138], "data_pointer_width": [71, 73, 74], "dma_hdr_pointer_width": [71, 73, 74], "usr_mfb_meta_hdr_meta": 71, "usr_mfb_meta_chan": 71, "usr_mfb_meta_pkt_s": 71, "hdr_buff_addr": 71, "hdr_buff_chan": 71, "hdr_buff_data": 71, "dma_hdr_width": 71, "hdr_buff_src_rdi": 71, "hdr_buff_dst_rdi": 71, "buff_rd_chan": 71, "buff_rd_data": 71, "buff_rd_addr": 71, "buff_rd_en": 71, "upd_hdp_chan": 71, "upd_hdp_data": 71, "upd_hdp_en": 71, "upd_hhp_chan": 71, "upd_hhp_data": 71, "upd_hhp_en": 71, "tx_dma_pcie_trans_buff": 72, "instantiati": 72, "constist": [72, 74], "footprint": 72, "quasi": 72, "resolut": [72, 94, 132], "rd_chan": 72, "barrel": [72, 176], "shifter": [72, 176], "acc": 73, "anot": 73, "signifi": [73, 102, 126], "channel_cor": 73, "pipe": [73, 77, 87, 88, 95, 115, 120, 124, 138, 176, 178, 179, 180], "np": 73, "memx": 73, "tx_dma_calypt": 74, "usr_tx_": 74, "pcie_cq_": 74, "unsort": 74, "usr_tx_mfb_region": 74, "usr_tx_mfb_region_s": 74, "usr_tx_mfb_block_s": 74, "usr_tx_mfb_item_width": 74, "pcie_cq_mfb_region": [74, 75], "pcie_cq_mfb_region_s": [74, 75], "pcie_cq_mfb_block_s": [74, 75], "pcie_cq_mfb_item_width": [74, 75], "pcie_cc_mfb_region": [74, 75], "pcie_cc_mfb_region_s": [74, 75], "pcie_cc_mfb_block_s": [74, 75], "pcie_cc_mfb_item_width": [74, 75], "st_sp_dbg_signal_w": [74, 75], "usr_tx_mfb": 74, "usr_tx_mfb_meta_pkt_s": [74, 75], "usr_tx_mfb_meta_chan": [74, 75], "usr_tx_mfb_meta_hdr_meta": [74, 75], "usr_tx_mfb_data": [74, 75], "usr_tx_mfb_sof": [74, 75], "usr_tx_mfb_eof": [74, 75], "usr_tx_mfb_sof_po": [74, 75], "usr_tx_mfb_eof_po": [74, 75], "usr_tx_mfb_src_rdi": [74, 75], "usr_tx_mfb_dst_rdi": [74, 75], "pcie_cq_mfb_data": [74, 75], "pcie_cq_mfb_meta": [74, 75], "pcie_cq_mfb_sof": [74, 75], "pcie_cq_mfb_eof": [74, 75], "pcie_cq_mfb_sof_po": [74, 75], "pcie_cq_mfb_eof_po": [74, 75], "pcie_cq_mfb_src_rdi": [74, 75], "pcie_cq_mfb_dst_rdi": [74, 75], "pcie_cc_mfb_data": [74, 75], "pcie_cc_mfb_meta": [74, 75], "pcie_cc_mfb_sof": [74, 75], "pcie_cc_mfb_eof": [74, 75], "pcie_cc_mfb_sof_po": [74, 75], "pcie_cc_mfb_eof_po": [74, 75], "pcie_cc_mfb_src_rdi": [74, 75], "pcie_cc_mfb_dst_rdi": [74, 75], "extractor": [74, 141], "dispatch": 74, "3494": 74, "74": [74, 168], "9002": 74, "5762": 74, "4_channel": 74, "76": 74, "4729": 74, "8_channel": 74, "min_pcie_fram": 74, "77": 74, "6599": 74, "3954": 74, "buff_size_smal": 74, "2113": 74, "7632": 74, "4380": 74, "buff_size_larg": 74, "3069": 74, "8577": 74, "755337": 74, "defaulit": 74, "28n": 74, "500n": 74, "175n": 74, "83n": 74, "816n": 74, "183n": 74, "97n": 74, "24n": 74, "944n": 74, "192n": 74, "111n": 74, "buff_size_big": 74, "dma_calypt": 75, "primari": [75, 134, 167], "focus": [75, 113], "usr_mfb_region": 75, "usr_mfb_region_s": 75, "usr_mfb_block_s": 75, "usr_mfb_item_width": 75, "pcie_rq_mfb_region": 75, "configr": 75, "pcie_rq_mfb_region_s": 75, "pcie_rq_mfb_block_s": 75, "pcie_rq_mfb_item_width": 75, "extract": [75, 86, 131, 141, 149], "rx_channel": [75, 116], "rx_ptr_width": 75, "sdp": 75, "hdp": 75, "usr_rx_pkt_size_max": 75, "tx_channel": [75, 116], "tx_sel_channel": 75, "dma_endpoint": 75, "tx_ptr_width": 75, "usr_tx_pkt_size_max": 75, "area": 75, "dsp_cnt_width": 75, "rx_gen_en": 75, "tx_gen_en": 75, "usr_rx_mfb_meta_pkt_s": 75, "usr_rx_mfb_meta_chan": 75, "usr_rx_mfb_meta_hdr_meta": 75, "usr_rx_mfb_data": 75, "usr_rx_mfb_sof": 75, "usr_rx_mfb_eof": 75, "usr_rx_mfb_sof_po": 75, "usr_rx_mfb_eof_po": 75, "usr_rx_mfb_src_rdi": 75, "usr_rx_mfb_dst_rdi": 75, "pcie_rq_mfb_data": 75, "upstream": [75, 140, 141], "pcie_rq_mfb_meta": 75, "pcie_rq_mfb_sof": 75, "pcie_rq_mfb_eof": 75, "pcie_rq_mfb_sof_po": 75, "pcie_rq_mfb_eof_po": 75, "pcie_rq_mfb_src_rdi": 75, "pcie_rq_mfb_dst_rdi": 75, "downstream": [75, 140, 141], "kintex": 75, "framelinkunalign": 76, "successor": 76, "framelink": 76, "buss": 76, "wast": 76, "bandwidth": 76, "sop_po": 76, "treat": 76, "_n": 76, "sop_pos_width": 76, "sop": [76, 90], "eop_po": 76, "eop": 76, "infrastructur": [76, 175], "flu_": 76, "fl_": 76, "instant": 76, "text": [76, 162], "editor": 76, "p1": 76, "p2": 76, "135": 76, "48": [76, 91, 97, 131], "103": 76, "had": [76, 115], "125": [76, 129], "104": 76, "0x1f": 76, "248": 76, "511": [76, 132], "rx_sop_po": [76, 98], "rx_eop_po": [76, 98], "rx_sop": [76, 98], "rx_eop": [76, 98], "tx_sop_po": [76, 98], "tx_eop_po": [76, 98], "tx_sop": [76, 98], "tx_eop": [76, 98], "_data": [76, 162], "_sop_po": 76, "_eop_po": 76, "_sop": 76, "_eop": 76, "_src_rdy": 76, "plane": 77, "eth_rx": 77, "dma_rx": 77, "dma_tx": 77, "eth_tx": 77, "mux_c": 77, "mux_a": 77, "mux_b": 77, "mux_d": 77, "player": 77, "0x000": 77, "0x004": 77, "0x008": 77, "0x00c": 77, "0x040": 77, "0x04c": 77, "tic": 77, "0x4": [77, 115, 154, 155], "0x8": 77, "0xc": 77, "0x050": 77, "0x05c": 77, "0x060": 77, "0x06c": 77, "0x070": 77, "0x07c": 77, "0x080": 77, "0x0bf": 77, "0x0c0": 77, "0x0ff": 77, "0x17f": 77, "0x180": 77, "0x1bf": 77, "0x1c0": 77, "0x1ff": 77, "mfb_generator_mi32": [77, 78], "mux": [77, 112, 125, 176, 181], "rx_dma_channel": 77, "npp_hdr_size": 77, "npp": 77, "tx_dma_channel": 77, "player_fifo_depth": 77, "rx_hdr_ins_en": 77, "same_clk": [77, 87], "asynch": 77, "convers": [77, 93, 129, 133, 138, 143, 145, 156, 157, 183], "mi_pipe_en": 77, "fake_switch": 77, "besid": [77, 87], "eth_rx_mvb_len": 77, "eth_rx_mvb_channel": 77, "eth_rx_mvb_hdr_meta": 77, "eth_rx_mvb_discard": 77, "eth_tx_mvb_len": 77, "eth_tx_mvb_channel": 77, "eth_tx_mvb_hdr_meta": 77, "eth_tx_mvb_vld": 77, "eth_tx_mvb_src_rdi": 77, "eth_tx_mvb_dst_rdi": 77, "dst": [78, 116, 125, 162], "cntr": 78, "burst_siz": 78, "incr": [78, 116], "ch_max": [78, 116], "ch_min": [78, 116], "rr": [78, 116], "use_pacp_arch": 78, "begener": 78, "0xffff": 78, "length_width": [78, 86, 100], "channels_width": 78, "pkt_cnt_width": 78, "0xff0001": [78, 116], "0x070401": [78, 116], "0xff0002": [78, 116], "0x050501": [78, 116], "mfb_frame_extend": 79, "effici": [79, 121, 123], "mvb_fifo_depth": 79, "mfb_fifo_depth": 79, "usermeta_width": [79, 81], "rx_mvb_usermeta": [79, 81], "rx_mvb_frame_length": 79, "rx_mvb_ext_siz": 79, "divis": [79, 94], "rx_mvb_ext_onli": 79, "ext_siz": 79, "rx_mvb_ext_en": 79, "rx_mvb_vld": [79, 81, 86, 90, 95, 123, 128], "rx_mvb_src_rdy": [79, 81, 86, 90, 95, 123, 128], "rx_mvb_dst_rdy": [79, 81, 86, 90, 95, 123, 128], "tx_mvb_usermeta": [79, 81], "tx_mfb_usermeta": 79, "mfb_frame_trimm": 80, "minimalist": 80, "meta_width": [80, 82, 83, 84, 85, 87, 89, 92, 94, 96, 98, 99, 105, 108, 109, 112, 113, 115, 123, 128, 148, 151, 160, 161, 162, 163, 164], "len_width": 80, "rx_trim_en": 80, "trim": [80, 81], "rx_sof": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107, 132], "rx_trim_len": 80, "rx_meta": [80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107], "rx_sof_po": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107, 132], "rx_eof_po": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107], "rx_eof": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107, 132], "tx_meta": [80, 82, 83, 84, 85, 86, 92, 94, 98, 99, 103, 105, 107], "tx_sof": [80, 82, 83, 84, 92, 94, 99, 103, 105, 107, 132], "tx_sof_po": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107], "tx_eof_po": [80, 82, 83, 84, 85, 92, 94, 99, 103, 105, 107, 132], "tx_eof": [80, 82, 83, 84, 92, 94, 99, 103, 105, 107, 132], "mfb_crossbarx_stream2": 81, "rearrang": 81, "in_stream": 81, "pkt_id_width": 81, "mod_width": 81, "clk_x2": 81, "rx_mvb_discard": 81, "rx_mvb_mod_sof_s": 81, "truncat": 81, "rx_mvb_mod_sof_en": 81, "rx_mvb_mod_sof_typ": 81, "rx_mvb_mod_eof_s": 81, "rx_mvb_mod_eof_en": 81, "rx_mvb_mod_eof_typ": 81, "mfb_cutter_simpl": 82, "cut": [82, 86, 131, 141, 146, 147, 150], "cutted_item": 82, "meta_align": 82, "WITH": [82, 83, 84], "rx_cut": 82, "mfb_dropper": 83, "rx_drop": 83, "mfb_enabl": 84, "tx_enabl": 84, "middl": [84, 102, 142, 143, 148, 150, 158, 160, 162, 167], "stat_discard": 84, "possit": [84, 92, 103, 105, 106], "OF": 84, "mfb_frame_mask": 85, "use_pip": 85, "mfb_pipe": [85, 92], "pipe_typ": [85, 92, 112, 115], "shreg": [85, 92, 112, 115, 162], "tx_sof_mask": [85, 86], "tx_eof_mask": 85, "withouth": 85, "partial": [85, 94, 151], "tx_sof_unmask": 85, "tx_eof_unmask": 85, "tx_src_rdy_unmask": 85, "layout": 85, "tx_sof_origin": 85, "tx_eof_origin": 85, "tx_src_rdy_origin": 85, "tx_mask": 85, "brand": 85, "demonst": 85, "sof_unmask": 85, "visual": 85, "situat": [85, 94, 102, 120, 132, 140, 162], "sof_origin": 85, "menawhil": 85, "rule": [85, 102, 116, 126, 132, 144, 162, 163], "unwant": 85, "behaviour": [85, 124, 165], "intefac": [85, 95], "ex_test": 85, "unverifi": 85, "intensli": 85, "tap": [85, 162], "model": [85, 151, 185], "frame_unpack": 86, "superpacket": 86, "header_length": 86, "60": [86, 131], "littl": [86, 93], "endian": 86, "deriv": [86, 94, 97, 102, 104], "mblock_siz": 86, "deal": 86, "po": [86, 100], "mvb_item": [86, 88, 90, 95, 104, 117, 121, 122, 123, 124, 128], "mvb_item_width": [86, 90, 95, 121, 123, 162], "prepend": 86, "16b": [86, 143], "necesari": 86, "unpacking_stag": 86, "meta_out_mod": 86, "rx_mvb_data": [86, 90, 95, 123], "getit_indv_hdr_data": 86, "subtract": 86, "search": [86, 129], "consum": [86, 94, 124, 125], "processor": 86, "creator": 86, "offset_processor": 86, "op": [86, 124, 152], "mvb_aggregate_last_vld": 86, "mfb_meta_width": [86, 88, 89, 90, 91, 93, 97, 100, 101, 104, 107], "max_word": 86, "strech": 86, "offset_width": [86, 100], "last_vld_impl": 86, "prefixsum": 86, "inf": [86, 91], "rx_offset": [86, 100], "u_array_t": 86, "rx_length": [86, 100], "rx_word": 86, "rx_old_sof": 86, "rx_new_sof": 86, "rx_sof_mask": 86, "tx_offset": 86, "tx_word": 86, "tx_old_sof": 86, "sof_creat": 86, "lastli": 86, "hdr_length": 86, "region_numb": 86, "withing": 86, "unsign": [86, 145, 151, 162, 165, 167], "tx_length": 86, "tx_new_sof": 86, "mfb_loopback": 87, "far": 87, "fake_loopback": 87, "piped_port": 87, "rx_data_in": 87, "rx_meta_in": 87, "rx_sof_in": 87, "rx_eof_in": 87, "rx_sof_pos_in": 87, "rx_eof_pos_in": 87, "rx_src_rdy_in": 87, "rx_dst_rdy_in": 87, "rx_data_out": 87, "rx_meta_out": 87, "rx_sof_out": 87, "rx_eof_out": 87, "rx_sof_pos_out": 87, "rx_eof_pos_out": 87, "rx_src_rdy_out": 87, "rx_dst_rdy_out": 87, "tx_data_out": 87, "tx_meta_out": 87, "tx_sof_out": 87, "tx_eof_out": 87, "tx_sof_pos_out": 87, "tx_eof_pos_out": 87, "tx_src_rdy_out": 87, "tx_dst_rdy_out": 87, "tx_data_in": 87, "tx_meta_in": 87, "tx_sof_in": 87, "tx_eof_in": 87, "tx_sof_pos_in": 87, "tx_eof_pos_in": 87, "tx_src_rdy_in": 87, "tx_dst_rdy_in": 87, "mfb_merger": 88, "characterist": [88, 90, 94, 95], "hdr_width": [88, 95, 151, 162], "rx0_payload_en": 88, "rx1_payload_en": 88, "input_fifo_s": 88, "sw_timeout_width": 88, "in_pipe_en": 88, "out_pipe_en": [88, 95], "rx0_mvb_hdr": 88, "rx0_mvb_payload": 88, "rx0_mvb_vld": 88, "rx0_mvb_src_rdy": 88, "rx0_mvb_dst_rdy": 88, "rx0_mfb_data": 88, "rx0_mfb_meta": 88, "rx0_mfb_sof": 88, "rx0_mfb_eof": 88, "rx0_mfb_sof_po": 88, "rx0_mfb_eof_po": 88, "rx0_mfb_src_rdy": 88, "rx0_mfb_dst_rdy": 88, "rx1_mvb_hdr": 88, "rx1_mvb_payload": 88, "rx1_mvb_vld": 88, "rx1_mvb_src_rdy": 88, "rx1_mvb_dst_rdy": 88, "rx1_mfb_data": 88, "rx1_mfb_meta": 88, "rx1_mfb_sof": 88, "rx1_mfb_eof": 88, "rx1_mfb_sof_po": 88, "rx1_mfb_eof_po": 88, "rx1_mfb_src_rdy": 88, "rx1_mfb_dst_rdy": 88, "tx_mvb_hdr": 88, "tx_mvb_payload": [88, 95], "lesser": [88, 176], "preffer": 88, "suppos": 88, "mfb_merger_simpl": 89, "cnt_max": 89, "masking_en": 89, "rx_mfb0_data": 89, "rx_mfb0_meta": 89, "rx_mfb0_sof": 89, "rx_mfb0_sof_po": 89, "rx_mfb0_eof": 89, "rx_mfb0_eof_po": 89, "rx_mfb0_src_rdy": 89, "rx_mfb0_dst_rdy": 89, "rx_mfb1_data": 89, "rx_mfb1_meta": 89, "rx_mfb1_sof": 89, "rx_mfb1_sof_po": 89, "rx_mfb1_eof": 89, "rx_mfb1_eof_po": 89, "rx_mfb1_src_rdy": 89, "rx_mfb1_dst_rdy": 89, "mfb_merger_simple_gen": 89, "merger_input": 89, "rx_mfb_meta": [89, 90, 91, 93, 96, 97, 100, 101], "metadata_insertor": 90, "insert_mod": 90, "slight": [90, 132], "mvb_fifo_s": 90, "mvb_fifox_multi": 90, "tx_mfb_meta_new": 90, "mfb_packet_delay": 91, "ts_format": 91, "ts_width": 91, "fifo_depth": [91, 105, 119, 122, 127], "mfb_fifox": [91, 105], "fifo_af_offset": 91, "time_reset": 91, "current_tim": 91, "decid": [91, 106, 115, 165], "ok": [91, 131], "qualiti": 91, "pause_request": 91, "rx_mfb_t": 91, "fake_pip": [92, 112], "use_dst_rdi": 92, "pattern": [93, 149, 162, 167], "slow": [93, 180], "interval_count": 93, "interval_length": 93, "section_length": 93, "proport": 93, "restor": 93, "won": 93, "surpass": 93, "met": 93, "1000": [93, 137, 139], "arrow": 93, "10gb": [93, 133], "50gb": 93, "0gb": 93, "75gb": 93, "60gb": 93, "output_spe": 93, "recalcul": 93, "rate_limit": 93, "62500": 93, "0x": 93, "paragraph": 93, "sr": [93, 176], "busi": [93, 111], "ro": [93, 134, 143], "shape": [93, 114], "wo": [93, 143], "rw": [93, 131, 133, 134, 143], "intro": 93, "straightforward": 93, "0b000001": 93, "transit": [93, 103, 108, 132, 152], "0b000100": 93, "0b000010": 93, "phase": [93, 148, 151, 158, 160, 161, 162], "impli": 93, "restart": 93, "steadi": 93, "particular": [93, 134, 145, 156, 157], "pai": 93, "attent": 93, "return": [93, 97, 113, 132, 151, 162, 167], "despair": 93, "workaround": 93, "accordingli": [93, 115, 132, 149], "understand": [93, 113], "tricki": 93, "bscn": 93, "conv_gbs2bscn": 93, "conv_bscn2gb": 93, "pscn": 93, "conv_ps2pscn": 93, "conv_pscn2p": 93, "xscn": 93, "xp": 93, "hz": [93, 97], "sectionlength": 93, "mbp": 93, "Or": 93, "upfront": 93, "minimumspe": 93, "bp": 93, "mfb_word_width": 93, "halt": [93, 141], "equat": 93, "solut": [93, 98, 162], "smallest": [93, 101, 102], "potenti": 93, "perceiv": 93, "suddenli": 93, "whatev": 93, "wish": [93, 162], "rememb": [93, 114], "upon": 93, "encount": 93, "signific": [93, 115], "extrem": 93, "circumst": 93, "exce": 93, "border": 93, "parameter": 94, "bot": [94, 167], "attribut": 94, "cappabl": 94, "decreas": [94, 98, 101, 145, 156, 157], "mfb_item_reconfigur": 94, "NOT": [94, 131], "bigger": [94, 126], "mfb_block_reconfigur": 94, "ineffici": 94, "frame_align": 94, "unnessesari": 94, "meta_mod": 94, "fifo_s": 94, "mfb_region_reconfigur": 94, "rx_region": [94, 98, 131, 133], "realiz": [94, 113, 115], "fact": [94, 146, 147, 150], "conenct": 94, "wider": [94, 114], "actuali": 94, "secondari": 94, "beginig": 94, "regino": 94, "prevent": [94, 140, 141], "frames_over_tx_block": 94, "frames_over_tx_region": 94, "sake": [94, 102, 162], "difficulti": 94, "othe": 94, "mfb_splitter": 95, "mvb_meta_width": 95, "mvb_output_fifo_s": 95, "use_outreg": [95, 112], "rx_mvb_hdr": 95, "rx_mvb_meta": [95, 123], "rx_mvb_switch": 95, "rx_mvb_payload": 95, "tx0_mvb_hdr": 95, "tx0_mvb_meta": 95, "tx0_mvb_payload": 95, "tx0_mvb_vld": 95, "tx0_mvb_src_rdy": 95, "tx0_mvb_dst_rdy": 95, "tx0_mfb_data": [95, 96], "tx0_mfb_sof": [95, 96], "tx0_mfb_eof": [95, 96], "tx0_mfb_sof_po": [95, 96], "tx0_mfb_eof_po": [95, 96], "tx0_mfb_src_rdy": [95, 96], "tx0_mfb_dst_rdy": [95, 96], "tx1_mvb_hdr": 95, "tx1_mvb_meta": 95, "tx1_mvb_payload": 95, "tx1_mvb_vld": 95, "tx1_mvb_src_rdy": 95, "tx1_mvb_dst_rdy": 95, "tx1_mfb_data": [95, 96], "tx1_mfb_sof": [95, 96], "tx1_mfb_eof": [95, 96], "tx1_mfb_sof_po": [95, 96], "tx1_mfb_eof_po": [95, 96], "tx1_mfb_src_rdy": [95, 96], "tx1_mfb_dst_rdy": [95, 96], "mfb_splitter_gen": 95, "splitter_output": [95, 96], "output_fifo_s": 95, "mfb_splitter_simpl": 96, "rx_mfb_sel": 96, "tx0_mfb_meta": 96, "tx1_mfb_meta": 96, "mfb_splitter_simple_gen": 96, "numer": [96, 162], "ofc": 96, "mfb_timestamp_limit": 97, "rx_mfb_timestamp": 97, "timestamp_format": 97, "kept": [97, 109, 140, 141], "delay": [97, 178], "selected_queu": 97, "unselect": [97, 176], "clk_frequenc": 97, "external_time_src": 97, "external_tim": 97, "mi_reset_reg": 97, "mi_sel_queue_reg": 97, "bypass": [97, 100], "redirect": 97, "ON": 97, "mi_top_speed_reg": 97, "200000000": 97, "timestamp_width": 97, "buffer_s": 97, "buffer_af_offset": 97, "pause_queu": 97, "rx_mfb_queue": 97, "mfb_transform": 98, "fewer": 98, "region_aux_en": 99, "block_aux_en": 99, "item_aux_en": 99, "tx_region_shar": 99, "tx_region_vld": 99, "tx_block_vld": 99, "tx_item_vld": 99, "checksum_calcul": 100, "ipv4": 100, "tcp": 100, "udp": 100, "rx_chsum_en": 100, "invert": [100, 129], "tx_chsum_bypass": 100, "rework": 100, "network_ord": 100, "tx_mvb_meta": 100, "calucul": 100, "crossbarx_stream": 101, "shrink": 101, "front": 101, "cx_use_clk2": 101, "cx_use_clk_arb": 101, "obuf_meta_eq_output": 101, "obuf_input_eq_output": 101, "trans_fifo_s": [101, 133], "f_gap_adjust_en": 101, "f_gap_adjust_size_avg": 101, "f_gap_adjust_size_min": 101, "f_extend_start_en": 101, "f_extend_start_s": 101, "neg": [101, 129], "f_extend_end_en": 101, "f_extend_end_s": 101, "rx_clk2": 101, "rx_reset": [101, 103, 107, 131, 133, 151], "tx_reset": [101, 103, 107, 131, 133], "cx_clk_arb": 101, "cx_reset_arb": 101, "rx_mfb_discard": 101, "cx": 101, "basi": 102, "great": 102, "versatil": 102, "recogn": 102, "placement": 102, "incomplet": 102, "word_width": [102, 126, 165], "sof_pos_width": 102, "eof_pos_width": 102, "transmitt": [102, 126], "1001": 102, "exact": 102, "logarithm": [102, 143], "multipli": [102, 115, 142, 143, 165, 176], "1110": [102, 126], "unlik": 102, "product": 102, "101_000_000_000": 102, "5th": 102, "3rd": [102, 115], "110_010_000_011": 102, "zeroth": 102, "0th": [102, 132], "6th": 102, "earlier": [102, 162, 168], "six": [102, 164], "000000_000000_000000_000000": 102, "001100_011111_000000_000001": 102, "31st": 102, "12th": 102, "110010_010101_111000_110000": 102, "48th": 102, "56th": 102, "21st": 102, "50th": 102, "simplic": [102, 132, 162], "hexadecim": [102, 113], "letter": 102, "conduct": [102, 126], "hold": [102, 131, 133, 162], "underscor": 102, "convent": 102, "lsb": [102, 111, 120, 126, 132], "maintain": [102, 126, 180], "wherea": [102, 126, 162], "mfb_asfifox": 103, "rx_aful": [103, 107], "rx_statu": 103, "tx_aempti": 103, "tx_statu": 103, "mfb_crossbarx_output_buff": 104, "automaticli": 104, "mfb_meta_with_sof": 104, "buf_block": 104, "data_block_s": 104, "data_item_width": 104, "buf_word": 104, "meta_eq_output": 104, "clk_meta": 104, "clk_out": 104, "input_eq_output": 104, "clk_in": 104, "alias": 104, "data_block_width": 104, "buf_byt": 104, "reset_meta": 104, "reset_in": 104, "reset_out": 104, "wr_ie": 104, "rx_hdr_meta": 104, "rx_hdr_mfb_meta": 104, "rx_hdr_chan": 104, "rx_hdr_addr": 104, "rx_hdr_len": 104, "rx_hdr_vld": 104, "rx_hdr_src_rdy": 104, "rx_hdr_dst_rdy": 104, "rd_ptr": 104, "pkt_sent_len": 104, "pkt_sent_src_rdi": 104, "pkt_sent_dst_rdi": 104, "tx_mvb_len": 104, "tx_mvb_hdr_meta": 104, "tx_mvb_channel": 104, "fifo_width": [105, 124, 127], "288000": [105, 124, 127], "almost_ful": [105, 127], "almost_empti": [105, 127], "fifo_statu": 105, "fifo_aful": 105, "fifo_aempti": 105, "multip": 106, "immidi": [106, 140], "ptr": 106, "delet": [106, 129, 162, 164], "himself": 106, "pd": [106, 133, 178], "mfb_pd_asfifo_simpl": 107, "afull_offset": 107, "rx_discard": [107, 118, 162], "unexpect": [108, 138], "reset_log": 108, "violat": 108, "clk_m": 108, "reset_m": 108, "mi_m_dwr": 108, "mi_m_mwr": 108, "mi_m_addr": 108, "mi_m_rd": 108, "mi_m_wr": 108, "mi_m_b": 108, "mi_m_drd": 108, "mi_m_ardi": 108, "mi_m_drdi": 108, "clk_": 108, "reset_": 108, "mi_s_dwr": 108, "mi_s_mwr": 108, "mi_s_addr": 108, "mi_s_rd": 108, "mi_s_wr": 108, "mi_s_b": 108, "mi_s_drd": 108, "mi_s_ardi": 108, "mi_s_drdi": 108, "gate": [109, 176], "mi_mwr": 109, "avmm_address": 109, "avmm_writ": 109, "avmm_read": 109, "avmm_byteen": 109, "avmm_writedata": 109, "avmm_readdata": 109, "avmm_readdatavalid": 109, "avmm_waitrequest": 109, "debugaccess": 109, "writeresponsevalid": 109, "lock": [109, 129, 150], "burstcount": 109, "beginbursttransf": 109, "readdatavalid": 109, "opposit": [109, 132], "drdy": [109, 113, 164], "unabl": 109, "ardi": [109, 113, 164], "negat": 109, "waitrequestallow": 109, "axi_data_width": [110, 135], "axi_awid": 110, "axi_awaddr": 110, "axi_awlen": 110, "axi_aws": 110, "axi_awburst": 110, "axi_awprot": 110, "axi_awvalid": 110, "axi_awreadi": 110, "axi_wdata": 110, "axi_wstrb": 110, "axi_wvalid": 110, "axi_wreadi": 110, "axi_bid": 110, "axi_bresp": 110, "axi_bvalid": 110, "axi_breadi": 110, "axi_arid": 110, "axi_araddr": 110, "axi_arlen": 110, "axi_ars": 110, "axi_arburst": 110, "axi_arprot": 110, "axi_arvalid": 110, "axi_arreadi": 110, "axi_rid": 110, "axi_rdata": 110, "axi_rresp": 110, "axi_rlast": 110, "axi_rvalid": 110, "axi_rreadi": 110, "indirectli": 111, "mi_indirect_access": 111, "output_interfac": 111, "rx_addr": [111, 115], "rx_dwr": [111, 115], "rx_wr": [111, 115], "rx_rd": [111, 115], "rx_ardi": [111, 115], "rx_drd": [111, 115], "rx_drdy": [111, 115], "tx_addr": [111, 115], "tx_dwr": [111, 115], "tx_wr": [111, 115], "tx_rd": [111, 115], "tx_ardi": [111, 115], "tx_drd": [111, 115], "tx_drdy": [111, 115], "reqest": 111, "cmd": [111, 131, 133], "mi_pip": [112, 138], "misc": 112, "opt": 112, "in_dwr": 112, "in_mwr": 112, "in_addr": 112, "in_b": [112, 151], "in_rd": 112, "in_wr": 112, "in_ardi": 112, "in_drd": 112, "in_drdi": 112, "out_dwr": 112, "out_mwr": 112, "out_b": 112, "out_rd": 112, "out_wr": 112, "out_ardi": 112, "out_drd": 112, "out_drdi": 112, "dwr": [113, 114, 164], "mwr": 113, "drd": [113, 114, 164], "surpris": 113, "recipi": 113, "american": 113, "influenc": [113, 121], "vast": 113, "disjoint": 113, "critic": 113, "theoret": 113, "essenti": [113, 162], "realli": 113, "d0": [113, 168], "b0": [113, 162, 167, 168], "a0": 113, "inact": 113, "arbitrarili": 113, "d2": 113, "d3": 113, "principl": [113, 120], "a1": [113, 132], "respond": [113, 138, 162, 164], "anyhow": 113, "regardless": 113, "d1": 113, "coupl": 113, "answer": 113, "a2": [113, 132], "a5": 113, "a3": 113, "respones": 113, "d8": [113, 168], "fourth": [113, 115], "d9": 113, "aim": 113, "1234": 113, "98xx": 113, "98": 113, "xx": 113, "requ": 113, "54xx": 113, "5476": 113, "4321": 113, "67xx": 113, "0089": 113, "0045": 113, "produc": [114, 151], "rquest": 114, "pipe_out": 115, "pipe_outreg": 115, "init_addr_base_downto": 115, "caution": 115, "init_addr_mask_downto": 115, "port_map": 115, "init_port_mapping_downto": 115, "constain": 115, "rx_mwr": 115, "rx_be": 115, "tx_mwr": 115, "tx_be": 115, "said": 115, "theori": 115, "abnorm": 115, "perhap": 115, "0x3": 115, "0x7": 115, "0x00000003": 115, "0x00000004": 115, "0x00000007": 115, "0x00000008": 115, "0x0000000b": 115, "0x0000000c": 115, "0x0000000f": 115, "0x00000010": 115, "0x000000013": 115, "0x00000014": 115, "0x000000017": 115, "0x00000018": 115, "0xfffffffff": 115, "0x00000001": 115, "0x00000002": 115, "0x00000005": 115, "0x00000006": 115, "0x0000001c": 115, "ORed": 115, "interleav": 115, "yourselv": 115, "0x0000001f": 115, "000000": 115, "000111": 115, "0x00000020": 115, "0x0000003f": 115, "001000": 115, "001111": 115, "0x00000040": 115, "0x00000077": 115, "010000": 115, "011101": 115, "0x00000078": 115, "0x000000ab": 115, "011110": 115, "101010": 115, "0x000000ac": 115, "0x000000cb": 115, "101011": 115, "110010": 115, "0x000000cc": 115, "0x000000f7": 115, "110011": 115, "111101": 115, "0x000000f8": 115, "0x000001fb": 115, "111110": 115, "111111": 115, "advanc": [115, 144, 163, 177], "gonna": 115, "fifth": 115, "somewhat": 115, "messi": 115, "talk": 115, "pretti": 115, "0xffffffff": 115, "mvb_channel_router_mi": 116, "default_mod": 116, "distr": 116, "0x000004": 116, "0x000008": 116, "rsvd": 116, "opt_mod": 116, "ch_cnt": 116, "ch_out": 116, "ch_next": 116, "dst_channel": 116, "ch_diff": 116, "src_channel": 116, "rx_vld": [116, 117, 118, 121, 122, 124, 125, 127], "tx_vld": [116, 117, 118, 119, 121, 122, 124, 125, 127], "demultiplex": [117, 176], "gen_mvb_demux": 117, "demux_width": 117, "data_demux": 117, "mvb_merge_item": 119, "alig": 119, "rx0": 119, "rx0_item": 119, "rx0_item_width": 119, "rx1_item": 119, "rx1": 119, "rx1_item_width": 119, "rx0_fifo_en": 119, "rx0_data": 119, "rx0_vld": 119, "rx0_src_rdy": 119, "rx0_dst_rdy": 119, "rx1_data": 119, "rx1_vld": 119, "rx1_src_rdy": 119, "rx1_dst_rdy": 119, "tx_data0": 119, "tx_data1": 119, "lost": 120, "din": 120, "din_vld": 120, "din_src_rdi": 120, "din_dst_rdi": 120, "dout": 120, "dout_vld": 120, "dout_src_rdi": 120, "dout_dst_rdi": 120, "obsolet": [120, 131, 133, 172, 177], "risk": 120, "input_data": 120, "output_data": 120, "output_src_rdi": 120, "output_dst_rdi": 120, "mvb_merge_stream": 121, "sw_timeout_w": 121, "rx_shakedown_en": 121, "rx_stream": 121, "gen_mvb_mux": 122, "mux_width": 122, "rx_sel_data": 122, "rx_sel_vld": 122, "rx_sel_src_rdi": 122, "rx_sel_dst_rdi": 122, "536": 123, "mfb_align": 123, "mvb_oper": 124, "fork": [124, 162], "rx_op_en": 124, "rx_op_vld": 124, "tx_op_vld": 124, "reorder": [124, 129], "asap": 124, "consume_item_width": 124, "tx_op": 124, "rsp_item_width": 124, "latency_fifo_en": 124, "latency_fifo_depth": 124, "latency_fifo_ram_typ": 124, "rx_op_pipe_en": 124, "rx_op_respons": 124, "rx_data_consum": 124, "tx_op_data": 124, "tx_op_data_consum": 124, "tx_op_src_rdi": 124, "tx_op_dst_rdi": 124, "exacli": 124, "rx_op_src_rdi": 124, "rx_op_dst_rdi": 124, "tx_respons": 124, "tx_response_vld": 124, "th": 124, "mvb_shakedown": 125, "rx_item": 125, "tx_item": 125, "independetli": 125, "shake_port": 125, "shake": 125, "carefulli": 125, "multi_fifox": 125, "ingor": 125, "implemet": 125, "use_mux_impl": 125, "emul": 125, "tx_next": 125, "creation": [126, 146, 147, 150, 158, 162], "particip": 126, "arrang": [126, 143], "stand": 126, "whose": [126, 176], "understood": 126, "waveform": 126, "mvb_fifox": 127, "mvb_lookup_t": 128, "lut_depth": 128, "lut_width": 128, "lut_arch": 128, "sw_width": 128, "rx_mvb_lut_addr": 128, "rx_mvb_metadata": 128, "tx_mvb_lut_data": 128, "tx_mvb_lut_addr": 128, "tx_mvb_metadata": 128, "sw_addr": 128, "sw_slice": 128, "sw_din": 128, "sw_be": 128, "sw_write": 128, "sw_read": 128, "sw_dout": 128, "sw_dout_vld": 128, "phy_40g": 129, "40g": 129, "gbase": 129, "ieee": 129, "802": 129, "claus": 129, "82": 129, "gty": 129, "rxp": 129, "txp": 129, "cr": 129, "fabric": 129, "mii": [129, 131, 133, 152], "async": [129, 179], "clk_stabl": 129, "xlgmii": [129, 131, 133], "stabl": 129, "xlgmii_clk": 129, "156": 129, "25mhz": 129, "xlgmii_txd": 129, "xlgmii_txc": 129, "xlgmii_rxd": 129, "xlgmii_rxc": 129, "refclk_in": 129, "322": 129, "refclk_p": 129, "265625": 129, "refclk_n": 129, "refclk_out": 129, "drpclk": 129, "rxn": 129, "txn": 129, "rxpolar": 129, "txpolar": 129, "_det": 129, "81": [129, 135], "tx_path_40g": 129, "rx_path_40g": 129, "pma_xlaui_gti": 129, "scrambl": [129, 150, 166], "marker": 129, "gbaser_encod": 129, "pcs_tx_fifo_deprec": 129, "compens": 129, "scrambler": [129, 150], "scrambler_gen": 129, "49": 129, "am": 129, "am_in": 129, "deskew": 129, "gbaser_decod": 129, "pcs_rx_fifo_deprec": 129, "descrambl": [129, 150], "descrambler_gen": 129, "polynomi": 129, "lane_align": 129, "ber": 129, "ber_mon": 129, "hi_ber": 129, "reliabl": 129, "deseri": 129, "recov": 129, "gty_40g": 129, "bitrat": 129, "3125": 129, "gearbox": 129, "moreov": 129, "block_lock": [129, 166], "boundari": [129, 145, 156, 157], "startup": 129, "collect": 129, "ref": [129, 164], "0x10004": 129, "0x10008": 129, "0x1000c": 129, "0x10010": 129, "0x10014": 129, "0x10018": 129, "10g": [129, 154, 155], "epon": 129, "p2mp": 129, "0x30000": 129, "0x30004": 129, "0x30008": 129, "0x3000c": 129, "0x30010": 129, "0x30040": 129, "0x30058": 129, "44": 129, "0x30064": 129, "51": 129, "0x30068": 129, "52": 129, "53": 129, "0x30190": 129, "bip": 129, "201": 129, "0x301b4": 129, "19": 129, "218": 129, "219": 129, "0x30320": 129, "401": 129, "0x30344": 129, "418": 129, "419": 129, "20000": 130, "withnout": 130, "90": [130, 162], "pactek": 130, "85": [130, 135, 139], "thank": [131, 133], "umii": [131, 133], "xgmii": [131, 133], "cdgmii": [131, 133], "wip": [131, 133], "fly": 131, "sampl": [131, 133, 144, 162, 165], "trfc": 131, "cfc": 131, "dfc": [131, 133], "bodfc": 131, "octet": [131, 133, 149], "oroc": 131, "strobe": [131, 133], "trfcl": 131, "cfcl": 131, "dfcl": [131, 133], "bodfcl": 131, "trfch": 131, "cfch": 131, "dfch": [131, 133], "bodfch": 131, "orocl": 131, "oroch": 131, "soon": [131, 133], "kind": [131, 132], "adapter_error": 131, "notifi": 131, "crc_error": 131, "mintu_check": 131, "mtu_check": 131, "mac_check": 131, "mfifo_ovf": 131, "mfifo": 131, "dfifo_ovf": 131, "dfifo": 131, "21": 131, "inbandfc": 131, "fc": 131, "fsc": 131, "isn": 131, "mac_count": 131, "cam": [131, 177], "rfc": 131, "ifg": 131, "preambl": [131, 149], "sfd": 131, "efd": 131, "1526": 131, "promiscu": 131, "brodcast": 131, "cgmii": 131, "47": 131, "upper": [131, 142], "enlarg": 131, "128b": [131, 134], "resize_buff": 131, "network_port_id": 131, "pkt_mtu_byt": [131, 133], "crc_is_receiv": 131, "crc_check_en": 131, "crc_remove_en": 131, "mac_check_en": 131, "timestamp_en": 131, "rx_mfb_error": 131, "adapter_link_up": 131, "link_up": 131, "incoming_fram": 131, "abid": 132, "sole": 132, "mfb_to_lbus_reconf": 132, "paramt": 132, "alhough": 132, "paremet": 132, "manner": 132, "sh_fsm": 132, "fulli": [132, 141], "preced": [132, 134], "unprocess": 132, "simplest": 132, "move": [132, 180], "world": [132, 162], "undergo": 132, "ordinari": 132, "spacer": 133, "ipg": 133, "mfb_pd_asfifo": 133, "asfifo": [133, 141, 172, 178], "tfcl": 133, "octect": 133, "socl": 133, "sfcl": 133, "tfch": 133, "soch": 133, "sfch": 133, "tfc": 133, "sfc": 133, "resize_on_tx": 133, "ipg_generate_en": 133, "rx_include_crc": 133, "checksum": [133, 178], "rx_include_ipg": 133, "crc_insert_en": 133, "use_dsp_cnt": 133, "eth_vers": 133, "over10gb": 133, "standart": 133, "rx_clk_x2": 133, "outgoing_fram": 133, "0x0d7b": 134, "rev": 134, "0x020": 134, "0x1": [134, 154, 155], "1b": [134, 143], "26b": 134, "dtb_pkg": 134, "indirect": [134, 179], "announc": 134, "bind": 134, "pcie_cq_axi2mfb": 135, "256b": 135, "straddl": 135, "mfb_region_width": [135, 138], "cq_user_width": 135, "183": [135, 139], "gen3x16": 135, "88": [135, 139], "gen3x8": 135, "axi_cquser_width": 135, "permit": 135, "pg213": 135, "cq_axi_data": 135, "cq_axi": 135, "cq_axi_us": 135, "sideband": 135, "trasfer": 135, "cq_axi_last": 135, "cq_axi_keep": 135, "cq_axi_valid": 135, "cq_axi_readi": 135, "cq_mfb_data": [135, 138], "cq_mfb": 135, "cq_mfb_sof": [135, 138], "cq_mfb_eof": [135, 138], "cq_mfb_sof_po": [135, 138], "cq_mfb_eof_po": [135, 138], "cq_mfb_src_rdy": [135, 138], "cq_mfb_dst_rdy": [135, 138], "cq_tph_present": 135, "hint": 135, "tph": 135, "cq_tph_type": 135, "ph": 135, "cq_tph_st_tag": 135, "steer": 135, "cq_fbe": 135, "cq_lbe": 135, "pcie_cc_mfb2axi": 135, "cc_user_width": 135, "axi_ccuser_width": 135, "cc_mfb_data": [135, 138], "cc_mfb": 135, "cc_mfb_sof": [135, 138], "cc_mfb_eof": [135, 138], "cc_mfb_sof_po": [135, 138], "cc_mfb_eof_po": [135, 138], "cc_mfb_src_rdy": [135, 138], "cc_mfb_dst_rdy": [135, 138], "cc_axi_data": 135, "cc_axi": 135, "cc_axi_us": 135, "cc_axi_last": 135, "cc_axi_keep": 135, "cc_axi_valid": 135, "cc_axi_readi": 135, "pcie_byte_count": 136, "dw_count": 136, "first_b": [136, 137], "last_b": [136, 137], "in_dw_count": 136, "in_first_b": 136, "in_last_b": 136, "out_first_ib": 136, "out_last_ib": 136, "out_byte_count": 136, "pcie_byte_en_decod": 137, "contiuou": 137, "0010": [137, 139], "0101": 137, "0100": [137, 139], "1100": 137, "0110": 137, "fbe_in": 137, "lbe_in": 137, "fbe_out": 137, "lbe_out": 137, "guest": 138, "transal": 138, "bar1": 138, "bar3": 138, "bar5": 138, "cc_pipe": 138, "cq_pipe": 138, "endpoint_typ": 138, "ctl_max_payload_s": 138, "000b": 138, "001b": 138, "010b": 138, "011b": 138, "ctl_bar_apertur": 138, "apertur": [138, 139], "usabl": [138, 166], "cq_mfb_meta": 138, "cc_mfb_meta": 138, "mi_funct": 138, "pcie_rq_hdr_gen": 139, "in_address": 139, "in_vfid": 139, "in_tag": 139, "tag_8": 139, "tag_9": 139, "in_dw_cnt": 139, "in_attribut": 139, "snoop": 139, "in_fb": 139, "in_lb": 139, "in_addr_len": 139, "in_req_typ": 139, "out_head": 139, "pcie_cc_hdr_gen": 139, "fo": 139, "in_lower_addr": 139, "in_byte_cnt": 139, "in_comp_st": 139, "in_req_id": 139, "in_tc": 139, "class": [139, 144, 145, 148, 149, 156, 157, 158, 160, 161, 162, 163, 164, 167, 176], "in_address_typ": 139, "in_meta_func_id": 139, "in_bus_num": 139, "comp_with_data": 139, "pcie_rc_hdr_depars": 139, "out_low_addr": 139, "out_complet": 139, "out_dw_cnt": 139, "out_tag": 139, "out_byte_cnt": 139, "out_attribut": 139, "out_comp_st": 139, "in_head": 139, "pcie_cq_hdr_depars": 139, "cquser_width": 139, "out_address": 139, "out_req_id": 139, "out_tc": 139, "out_fb": 139, "out_lb": 139, "out_address_typ": 139, "out_target_func": 139, "meta_func_id": 139, "out_bar_id": 139, "out_bar_apertur": 139, "out_addr_len": 139, "out_req_typ": 139, "msg": [139, 162], "msgd": 139, "in_axi_tus": 139, "tuser": [139, 144, 158], "in_intel_meta": 139, "bar_apertur": 139, "bar_id": 139, "target_func": 139, "accross": [140, 141], "storag": [140, 141], "n_loop_op": [140, 176], "credit": 140, "remap": 141, "codapa": 141, "checker": 141, "tsu_format_to_n": [142, 143], "ts_n": [142, 143], "eventhough": 142, "reg_bitmap": 142, "001": [142, 143], "010": 142, "ts_tsu": 142, "gp": 143, "mi_data_reg": 143, "ctrl_reg": 143, "3b": 143, "incr_val_reg": 143, "realtime_reg": 143, "pps_reg": 143, "2b": 143, "inta": 143, "ts_dv": 143, "1hz": 143, "2hz": 143, "3hz": 143, "multiplexor": 143, "39b": 143, "96b": 143, "ts_mult_smart_dsp": 143, "smarter": 143, "ts_mult_use_dsp": 143, "pps_sel_width": 143, "clk_sel_width": 143, "synchroni": 143, "pps_n": 143, "pps_src": 143, "pps_sel": 143, "clk_freq": 143, "clk_src": 143, "clk_sel": 143, "fraction": 143, "xanosecond": 143, "logic_vector_array_axi": [144, 152], "tuser_width": [144, 158], "tdata": [144, 158], "tkeep": 144, "tlast": 144, "tvalid": 144, "treadi": [144, 158], "drive": [144, 149, 154, 155, 162, 166], "tkeep_width": 144, "careful": [144, 163], "dont": [144, 163], "passiv": [145, 154, 155, 156, 157, 162, 166], "randomiz": [145, 156, 157], "sequence_item": [145, 148, 151, 152, 156, 157, 158, 160, 161, 162, 165], "do_copi": [145, 154, 155, 156, 157, 165, 166], "do_compar": [145, 154, 155, 156, 157, 165, 166], "convert2str": [145, 154, 155, 156, 157, 162, 165, 166, 168], "subclass": [145, 156, 157, 162], "byte_array_mfb": [145, 152, 162, 163], "randomli": [145, 148, 156, 157, 160, 161, 162, 164, 167], "sequence_simpl": [145, 151, 156, 157, 162, 167], "sequence_simple_const": [145, 156, 157], "sequence_simple_gauss": [145, 156, 157], "sequence_simple_inc": [145, 156, 157], "sequence_simple_dec": [145, 156, 157], "sequence_lib": [145, 156, 157, 162], "pick": [145, 156, 157], "config_sequ": [145, 148, 156, 157, 158, 160, 161, 162], "array_size_set": [145, 156, 157], "type_id": [145, 148, 151, 156, 157, 158, 160, 161, 162, 168], "cfg": [145, 148, 156, 157, 158, 160, 161, 162], "agent": [146, 147, 148, 149, 150, 152, 158, 159, 160, 161, 185], "acumul": [146, 147], "quest": [146, 147], "chunk": [146, 147, 150, 168], "bytes_vld": [146, 147, 154, 155], "null": [146, 147, 148, 151, 158, 160, 161, 162], "observ": [148, 158, 160, 162], "regions_s": [148, 160, 163], "mfb_data": [148, 160], "mfb_metadata": [148, 160], "pull": [148, 160, 162], "analysis_export": [148, 151, 158, 160, 161, 162], "uvm_act": [148, 158, 160, 161], "uvm_pass": [148, 158, 160, 161], "interface_nam": [148, 158, 160, 161, 162, 165], "databas": [148, 158, 160, 161, 162], "meta_behav": [148, 160, 161], "config_item": [148, 158, 160, 161], "meta_sof": [148, 160, 161], "meta_eof": [148, 160], "seq_cfg": [148, 158, 160, 161, 162], "byte_arrai": [148, 149, 162, 167], "reset_sync": [148, 158, 160, 161, 167], "uvm_test": [148, 158, 160, 161, 162], "uvm_componet_util": [148, 158, 160, 161], "m_reset": [148, 158, 160, 161], "env_rx": [148, 158, 160, 161], "m_env": [148, 158, 160, 161, 162], "uvm_compon": [148, 151, 158, 160, 161, 162], "super": [148, 151, 158, 160, 161, 162, 180], "endfunct": [148, 151, 158, 160, 161, 162], "void": [148, 151, 158, 160, 161, 162, 168], "build_phas": [148, 151, 158, 160, 161, 162], "uvm_phas": [148, 151, 158, 160, 161, 162], "m_cfg": [148, 158, 160, 161], "mfb_if": [148, 160, 161, 162], "space_size_set": [148, 158, 160, 161], "uvm_config_db": [148, 158, 160, 161, 162], "byte_array_mfb_env": 148, "m_eth": [148, 160, 161], "m_config": [148, 158, 160, 161, 162], "byte_arra_mfb": 148, "connect_phas": [148, 151, 158, 160, 161, 162], "sync_connect": [148, 158, 160, 161, 167], "endclass": [148, 151, 158, 160, 161, 162], "probability_set": [148, 158, 160], "percentig": 148, "infram": [148, 158, 160], "sequence_simple_rx_bas": [148, 158, 160, 161], "create_sequence_item": [148, 158, 160, 161, 164, 168], "child": [148, 158, 160, 161], "try_get": [148, 158, 160, 162], "state_packet_non": [148, 158, 160], "state_packet_new": [148, 158, 160], "state_packet_data": [148, 158, 160], "state_pakcet_spac": [148, 158, 160], "state_packet_space_new": [148, 158, 160], "env": [148, 152, 158, 160, 161, 162, 167, 168], "sequence_lib_rx": [148, 158, 160, 161], "sequence_simple_rx": [148, 158, 160], "sequence_full_speed_rx": [148, 158, 160, 161], "sequence_stop_rx": [148, 158, 160, 161], "repetit": [148, 158, 160, 161], "mfb_rx_speed": [148, 160], "init_sequence_librari": [148, 158, 160, 161, 162], "init_sequ": [148, 158, 160, 161, 162], "param_cfg": [148, 160, 161, 162], "add_sequ": [148, 158, 160, 161, 162], "get_typ": [148, 158, 160, 161, 162], "set_inst_overrid": [148, 158, 160, 161, 162], "get_full_nam": [148, 158, 160, 161, 162, 168], "introduc": [149, 162], "guarante": 149, "xmii": 149, "inherit": [149, 151, 168], "append": 149, "idle_count_min": 149, "idle_count_max": 149, "retriev": 149, "flush": [149, 162], "ther": 150, "termin": [150, 166], "tehr": 150, "polynom": 150, "39": 150, "58": 150, "rand_rdi": 151, "rand_length": 151, "rdy": [151, 154, 155, 162], "reprez": 151, "folow": 151, "interfam": 151, "uvm_sequ": [151, 162], "uvm_object_util": [151, 162], "uvm_common": [151, 162], "gab": 151, "rand_rdy_rand": 151, "rand_length_rand": 151, "bodi": [151, 162], "forev": [151, 162], "int": [151, 162, 167], "m_valu": 151, "send_empty_fram": 151, "hl_sequenc": [151, 162], "hl_transact": 151, "beign": [151, 167], "send_fram": 151, "endtask": [151, 162, 167, 168], "comparer_base_ord": 151, "model_item": [151, 162], "dut_item": [151, 162], "comparer_base_disord": 151, "comparer_base_tag": [151, 162], "comparer_ord": [151, 162], "class_typ": 151, "comparer_disord": 151, "comparer_tag": 151, "watchdog": [151, 180], "dut_tr_timeout_set": 151, "model_tr_timeout_set": 151, "analysis_imp_model": [151, 162], "analysis_imp_dut": [151, 162], "reimplement": [151, 162], "model_typ": 151, "tr_model": [151, 162], "dut_typ": 151, "tr_dut": [151, 162], "scoreboard_channel_head": 151, "packet_head": 151, "uvm_logic_vector": [151, 162], "uvm_component_param_util": [151, 162], "uvm_app_cor": 151, "eq": 151, "clog2": [151, 163], "packet_s": 151, "error_msg": 151, "0d": [151, 162], "swrite": [151, 162], "tdiscard": 151, "tchannel": 151, "tmeta": 151, "tpacket_s": 151, "scenario": [151, 162], "entity_i": 151, "entity_a": 151, "ea_do": 151, "eb1_di": 151, "entity_b1_i": 151, "entity_b": 151, "eb1_do": 151, "eb2_di": 151, "entity_b2_i": 151, "eb2_do": 151, "fifo_en1_input": 151, "uvm_component_util": [151, 162], "uvm_analysis_imp_export": 151, "analysis_expoert": 151, "push_back": [151, 162], "fifo_en2_input": 151, "model_entityb": 151, "uvm_scoreboard": [151, 162], "model_a": 151, "m_model_a": 151, "model_b": 151, "m_model_b1": 151, "m_model_b2": 151, "mb1_in": 151, "mb2_in": 151, "cast": [151, 162], "m_fifo_input": 151, "uvm_tlm_analysis_fifo": 151, "in_a": 151, "run_phas": [151, 162], "tr_in_a": 151, "tr_in_b": 151, "tr_out": [151, 162], "time_array_add": 151, "m1_": 151, "enviro": [152, 167], "sychron": 152, "logic_vector_mvb": 152, "inner": [152, 162], "logic_vector_array_mfb": [152, 157, 161], "seg": 152, "sequence_rx_bas": 152, "sequence_tx_bas": 152, "ce_gener": 152, "ipg_gener": 152, "channel_align": 152, "data_buff": 152, "sequence_rx": [152, 162], "sequence_tx": 152, "lii": 152, "media": [154, 155], "throught": [154, 155], "eeof": [154, 155], "ordder": [154, 155], "gitlab": [154, 155], "hft": [154, 155], "friedl": [154, 155], "feat": [154, 155], "ethphi": [154, 155], "eth_phi": [154, 155], "edb": [154, 155], "fast_sof": [154, 155], "earli": [154, 155], "ligc_vector_array_mfb": 156, "ligic": 156, "logic_vector": [156, 161], "convert2block": 157, "item_s": [158, 160], "logic_vector_arrai": [158, 160], "axi_if": 158, "logic_vector_array_axi_env": 158, "quicki": 158, "axi_rx_spe": 158, "logic_vector_array_mfb_env": 160, "items_width": 161, "logic_vector_mvb_env": 161, "sequence_rand_rx": 161, "sequence_burst_rx": 161, "mvb_rx_speed": 161, "doulo": 162, "guidelin": 162, "pack": 162, "unpack": [162, 178], "asic": 162, "einfochip": 162, "seriou": 162, "net": 162, "namespac": 162, "surround": 162, "mfb_properti": 162, "rx_mfb": 162, "prop_rdi": 162, "posedg": 162, "iff": 162, "b1": [162, 167, 168], "isunknown": 162, "endproperti": 162, "endmodul": 162, "try_next_item": 162, "get_next_item": 162, "4n": 162, "desynchron": 162, "race": 162, "10n": 162, "start_item": [162, 167, 168], "finish_item": [162, 167, 168], "uvm_driv": 162, "vif": 162, "seq_item_port": 162, "cb": 162, "item_don": 162, "stick": 162, "registr": 162, "macro": [162, 168], "_rx": 162, "_tx": 162, "sequence_": 162, "m_": 162, "uvm_ag": 162, "uvm_analysis_port": 162, "analysis_port": 162, "m_sequenc": 162, "m_driver": 162, "m_monitor": 162, "axi_lite_interfac": 162, "seq_item_export": 162, "pre_do": 162, "mid_do": 162, "post_do": 162, "difficult": 162, "simple_simpl": 162, "uvm_sequence_item": 162, "this_item": 162, "size_max": 162, "improv": [162, 164], "effort": 162, "sequence_packet_smal": 162, "c_transact": 162, "2000": 162, "c_char_width": 162, "sequence_packet_larg": 162, "10000": 162, "200000": 162, "sequence_librari": 162, "uvm_sequence_library_util": 162, "redefin": 162, "uvm_env": 162, "m_agent": 162, "uvm_components_": 162, "uvm_object_": 162, "uvm_components_util": 162, "wrong": 162, "strang": 162, "unless": 162, "uvm_packag": 162, "factori": 162, "byte_array_moinitor": 162, "byte_array_mfb_monitor": 162, "m_byte_array_ag": 162, "byte_array_ag": 162, "m_mfb_agent": 162, "mfb_agent": 162, "mon": 162, "analysis_imp": 162, "byte_array_mfb_sequ": 162, "hl_item": 162, "word_siz": 162, "ll_transact": 162, "tr": 162, "hl": 162, "fifo_data": 162, "subenviron": 162, "byte_array_cfg": 162, "m_byte_array_cfg": 162, "mfb_cfg": 162, "m_mfb_cfg": 162, "byte_array_mfb_cfg": 162, "vif_nam": 162, "m_byte_arrai": 162, "uvm_sequence_librari": 162, "sequence_packet_mid": 162, "sequence_packet_rand_spac": 162, "sequence_packet_const": 162, "sequence_packet_incr": 162, "rx_agent": 162, "uvm_sequenc": 162, "m_mfb_sequenc": 162, "m_mvb_sequenc": 162, "m_config_sequenc": 162, "uvm_object_param_util": 162, "uvm_declare_p_sequenc": 162, "mfb_sequenc": 162, "mvm": 162, "mvb_sequenc": 162, "uvm_do_on": 162, "p_sequenc": 162, "report_phas": 162, "jenkin": 162, "prowid": 162, "comparer_": 162, "uvm_analysis_export": 162, "analysis_export_rx": 162, "analysis_export_tx": 162, "protect": 162, "m_compar": 162, "m_model": 162, "analysis_imp_rx": 162, "analysis_imp_tx": 162, "isnt": 162, "fifo_model_input": 162, "model_in": 162, "anlysis_export": 162, "get_type_nam": 162, "uvm_non": 162, "bidirect": 162, "uvm_analysis_imp_reset": 162, "analysis_imp_reset": 162, "write_reset": 162, "m_regmodel": 162, "metric": 162, "tell": 162, "output_cov": 162, "uvm_subscrib": 162, "packet_port_env": 162, "covergroup": 162, "cov_packet": 162, "items_s": 162, "coverpoint": 162, "illegal_bin": 162, "items_port": 162, "endgroup": 162, "coverage_bas": 162, "m_cov": 162, "counton": 162, "mash": 162, "contrast": 162, "jump": 162, "sim_flag": 162, "code_coverag": 162, "cov_html": 162, "dut_u": 162, "annot": 162, "cvg": 162, "bcefst": 162, "verbos": 162, "threshl": 162, "threshh": 162, "ucdb": 162, "vcover": 162, "imag": 162, "li": 162, "roll": 162, "uvm_logic_vector_arrai": 162, "byte_array_port_env": 162, "piec": 162, "msg_byte_arrai": 162, "msg_port": 162, "tr_paket": 162, "tr_port": 162, "paket": 162, "sequence_byte_arrai": 162, "in_data": 162, "sequence_mvb": 162, "mvb_valid_item": 162, "mvb_agent": 162, "seq_byte_arrai": 162, "seq_mvb": 162, "msg_mvb": 162, "tlm": 162, "uvm_analysis_": 162, "uvm_tlm_analysis_": 162, "disadvantag": 162, "imposs": 162, "fifo_typ": 162, "type_item": 162, "quit": 162, "impement": 162, "packet_splitt": 162, "it_num": 162, "itoa": 162, "sc_output_": 162, "uvm_analysis_imp_decl": 162, "_meta": 162, "model_input_fifo": 162, "model_data": 162, "net_mod_logic_env": 162, "typedef": 162, "this_typ": 162, "uvm_analysis_imp_data": 162, "analysis_export_data": 162, "uvm_analysis_imp_meta": 162, "analysis_export_meta": 162, "struct": 162, "input_item": 162, "input_tim": 162, "data_item": 162, "meta_item": 162, "tmp_data": 162, "tmp_meta": 162, "write_data": 162, "write_meta": 162, "pop_front": 162, "user_to_cor": 162, "comparer_meta": 162, "tdut": 162, "tmodel": 162, "comparer_data": 162, "rx_mac_lite_region": 162, "tx_input_data": 162, "tx_input_meta": 162, "tx_out": 162, "comparesr": 162, "tx_compar": 162, "rx_input_data": 162, "rx_out_data": 162, "rx_out_hdr": 162, "rx_compare_data": 162, "rx_compare_meta": 162, "it_str": 162, "tx_out_": 162, "rx_input_data_": 162, "mvb_discard_": 162, "tx_input": 162, "tx_compare_": 162, "rx_input": 162, "rx_discard_": 162, "tx_output": 162, "rx_output": 162, "ret": [162, 167], "total_error": 162, "ttx": 162, "trx": 162, "assembl": 162, "rx_env": 162, "byte_aray_mfb": 162, "tx_env_bas": 162, "tx_env": 162, "tx_env_": 162, "analysis_export_rx_packet": 162, "analysis_export_tx_packet": 162, "sequence_rx_rdi": 162, "uvm_do_with": [162, 168], "env_main": 162, "seq_rx_packet": 162, "sequence_tx_rdi": 162, "seq_tx_rdi": 162, "raise_object": 162, "uvm_do": 162, "join_ani": 162, "drop_object": 162, "mfb_splitter_properti": 162, "reset_if": 162, "mvb_if": 162, "tx_mfb": 162, "mvb_properti": 162, "rx_mvb": 162, "run_test": 162, "finish_on_complet": 162, "uvm_root": 162, "m_root": 162, "v_tx_mfb": 162, "i_str": 162, "output_mfb_": 162, "v_mfb_tx": 162, "input_mfb": 162, "input_mvb": 162, "illegalnam": 162, "set_report_id_action_ti": 162, "uvm_no_act": 162, "unuseful": 162, "recording_detail": 162, "uvm_bitstream_t": 162, "prt": 162, "uvm_low": 162, "uvm_medium": [162, 168], "uvm_high": 162, "uvm_ful": 162, "hash": [162, 176], "uvm_debug": 162, "newlin": 162, "tabul": 162, "charact": 162, "ttext": 162, "indent": 162, "uvm_object": 162, "non_parametrized_class": 162, "parametrized_class": 162, "val": 162, "uvm_ev": 162, "offer": 162, "barrier": 162, "uvm_pool": 162, "uvm_barri": 162, "agent_rx": 162, "agent_tx": 162, "math_pkg": 162, "mathemat": 162, "math_pack": 162, "sh_reg": 162, "sh_reg_bas": 162, "vhld": 162, "arch": 162, "ent": 162, "dut_bas": 162, "ver": 162, "ver_bas": 162, "suppress": 162, "numeric_std": 162, "std_logic_arith": 162, "discourag": 162, "std": [162, 168], "numericstdnowarn": 162, "std_arith": 162, "arith": 162, "stdarithnowarn": 162, "uvm_max_quit_count": 162, "extra_vflag": 162, "uvm_testnam": 162, "uvmcontrol": 162, "firmware_bas": 162, "containst": 163, "reciv": 163, "mi_bu": 164, "sequence_item_request": 164, "sequence_item_respons": [164, 168], "master_driv": 164, "master_sequ": 164, "known": 164, "sequence_slav": 164, "sequence_slave_same_addr": 164, "sequence_slave_incr_addr": 164, "sequence_slave_slave_burst": 164, "no_oper": 164, "sequence_slave_sim": 164, "mi_writ": 164, "mi_read": 164, "get_rsp": [164, 168], "sequence_mast": 164, "set_rd": 164, "sequence_master_burst": 164, "sequence_master_max": 164, "sequence_slave_librari": 164, "containt": [165, 167], "my": 165, "nad": 165, "modport": 165, "overridden": 165, "max_transaction_count": 165, "min_transaction_count": 165, "transaction_count": 165, "next_act": 165, "sand": 165, "everyth": 165, "medium": 166, "attach": 166, "proprietari": 166, "tranasciev": 166, "took": 166, "data_vld": 166, "hdr_vld": 166, "cicl": 167, "sequence_rand": 167, "ored": 167, "ocurr": 167, "inconsistend": 167, "sync_cb": 167, "sync_regist": 167, "sync_termin": 167, "snyc_termin": 167, "cass": 167, "sync_": 167, "tak": 167, "is_reset": 167, "outpu": 167, "syncrhon": 167, "reset_ag": 167, "lewer": 167, "send_transact": 167, "hl_tr": 167, "sync_reset": 167, "reali": 167, "everyvher": 167, "env_config_item": 167, "driver_delai": 167, "slowest": 167, "reset_tim": 167, "seqeuenc": 167, "ran": 167, "configuret": 167, "pasiv": 167, "baselin": 168, "uvm_sim": 168, "sequence_tb": 168, "sequence_mi": 168, "sequence_mfb_data": 168, "sequence_mi_sim": 168, "uvm_mi": 168, "rsp": 168, "h0": 168, "h4": 168, "h8": 168, "uvm_info": 168, "hc": 168, "h1": 168, "construct": 168, "m_data": 168, "136": 168, "header_width": 168, "h04": 168, "h4c": 168, "h1f": 168, "hf0": 168, "h50": 168, "h7a": 168, "h02": 168, "hf404f404f404f404": 168, "sequence_meta": 168, "simluat": 168, "m_meta": 168, "lookup": [168, 181], "sequence_mvb_data": 168, "d4": 168, "d12": 168, "d16": 168, "hda7a5407": 168, "d512": 168, "hda7a5411": 168, "heb7ab8cc": 168, "d516": 168, "hda7a54cc": 168, "h6fbaaa52": 168, "h2474b6ac": 168, "hc4d1ce40": 168, "brief": 168, "lutmem": 172, "asfifox": [172, 178], "asfifo_bram": 172, "asfifo_bram_block": 172, "asfifo_bram_releas": 172, "dreleas": 172, "asfifo_bram_datamux": 172, "asfifo_bram_xilinx": 172, "primit": [172, 177], "fifo_bram": 172, "fifo_bram_xilinx": 172, "fifo_n1": 172, "multi_fifo": 172, "sh_fifo": 172, "ug573": [172, 177], "ug574": [172, 177], "clb": [172, 177], "ug": [172, 177], "s10memori": [172, 177], "embed": [172, 177], "20208": [172, 177], "fl_tool": 173, "flu_tool": 174, "research": 175, "z": 175, "after_on": 176, "00100000": 176, "11000000": 176, "alu": 176, "barrel_shift": 176, "barrel_shifter_dsp": 176, "before_on": 176, "00011111": 176, "bin2hot": 176, "hot": 176, "carry_chain": 176, "cmp": 176, "leonardo": 176, "cnt_dist": 176, "big": 176, "sequentiali": 176, "sdp_memx": [176, 177], "dec1fn": 176, "demux": [176, 181], "dsp_xor": 176, "xor": 176, "dsp48e2": 176, "detector": [176, 180], "enc": 176, "first_on": [176, 180], "gen_nor": 176, "h3": 176, "last_on": 176, "lfsr": 176, "fibonacci": 176, "xnor": 176, "modulo": 176, "modulo_lookup": 176, "mul48": 176, "mux_dsp": 176, "n_loop_op_pro": 176, "np_lutram_pro": [176, 177], "expert": [176, 177], "knowledg": [176, 177], "n_one": 176, "n_to_m_handshak": 176, "pipe_dsp": 176, "pipe_tree_add": 176, "adder": 176, "squarer": 176, "squar": 176, "latch": 176, "sum_on": 176, "xor48": 176, "bitwis": 176, "ternari": 177, "light": 177, "dp_bmem": 177, "dp_bram_xilinx": 177, "dp_bmem_v7": 177, "dp_uram_xilinx": 177, "gen_lutram": 177, "arria": 177, "gen_reg_arrai": 177, "sdp_bmem": 177, "sdp_bmem_v7": 177, "sdp_bram_xilinx": 177, "xpm_memory_sdpram": 177, "altera_syncram": 177, "multiport": 177, "sdp_bram_behav": 177, "sdp_uram_xilinx": 177, "sp_bmem": 177, "sp_bram": 177, "sp_bram_xilinx": 177, "sp_uram_xilinx": 177, "trasform": 178, "stream2": 178, "masker": 178, "trimmer": 178, "mi_tool": 179, "mi2axi4": 179, "adc_sensor": 180, "adc": 180, "candid": 180, "clk_gen": 180, "deficit_idle_count": 180, "event_count": 180, "fifo_pip": 180, "first_one_detector": 180, "hyper_pip": 180, "hyper": 180, "id32": 180, "interrupt_manag": 180, "agreg": 180, "packet_plann": 180, "reset_tree_gen": 180, "rr_arbit": 180, "arbitr": 180, "slr_cross": 180, "trans_sort": 180, "mvb_tool": 181, "merge_n_to_m": 181, "mvb2mfb": 181, "40ge": 182, "methodologi": 185, "highli": 185, "tutori": 185}, "objects": {}, "objtypes": {}, "objnames": {}, "titleterms": {"minim": [0, 1], "ndk": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 15, 16, 17, 26], "applic": [0, 1, 12, 14, 15, 19, 21], "The": [0, 19, 20, 21, 22, 23, 24, 32], "memori": [0, 22, 59, 177], "tester": [0, 59, 60], "mi": [0, 23, 53, 57, 58, 59, 108, 111, 112, 113, 114, 115, 138, 164, 168, 179], "offset": 0, "document": 1, "amd": [2, 3, 4], "alveo": [2, 3], "u200": 2, "firmwar": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15], "support": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 24], "program": [2, 3, 4, 60], "devic": [2, 3, 4, 13, 134], "u55c": 3, "vcu118": 4, "vu9p": [4, 10], "bittwar": 5, "ia": 5, "420f": 5, "boot": [5, 6, 7], "instruct": [5, 6, 7], "initi": 5, "intel": [6, 7, 153], "stratix": 6, "10": 6, "dx": 6, "fpga": [6, 7, 13, 14, 15, 129], "dk": [6, 7], "agilex": 7, "i": [7, 12, 14], "seri": 7, "reflexc": 8, "xpresssx": 8, "agi": 8, "fh400g": 8, "board": 8, "revis": 8, "test": [8, 17, 68, 162], "script": [8, 162], "silicom": [9, 10, 11], "fb2cghh": 9, "ku15p": 9, "fb4cgg3": 10, "n6010": 11, "configur": [12, 24, 28, 32, 68, 75, 93, 102, 141, 145, 148, 156, 157, 158, 160, 161, 162], "file": [12, 32], "paramet": [12, 15, 102, 126], "parametr": [12, 162], "core": [12, 18, 21, 24, 27], "design": [12, 32], "descript": [12, 32, 52, 53, 54, 56, 59, 102, 113, 126, 154, 155, 166], "core_conf": 12, "tcl": [12, 32, 162], "core_const": 12, "mk": 12, "core_bootstrap": 12, "further": [12, 15], "work": [12, 15], "pass": 12, "through": 12, "modul": [12, 17, 19, 20, 21, 24, 28, 30, 32, 162, 177], "ad": 12, "constant": 12, "vhdl": 12, "packag": [12, 50, 151, 162], "specif": [12, 51, 74, 76, 85, 102, 109, 113, 126, 162], "card": [12, 13, 14, 15, 134], "type": 12, "card_conf": 12, "card_const": 12, "user": [12, 14, 175], "build": [12, 13, 14, 15, 27, 32], "card_nam": 12, "makefil": [12, 32], "vivado": 12, "quartu": 12, "app_conf": 12, "tl": 12, "dr": 12, "need": [12, 14, 15], "includ": 12, "compon": [12, 13, 32, 52, 53, 54, 56, 59, 73, 85, 141, 152, 171, 172], "depend": [12, 15], "given": 12, "valu": [12, 126], "what": [12, 14, 15], "can": [12, 14], "do": [12, 14], "contact": 12, "author": 12, "tree": [13, 134], "dt": 13, "integr": 13, "system": [13, 32], "locat": 13, "dtb": 13, "exampl": [13, 32, 76, 78, 85, 102, 115, 126, 132, 162, 168], "one": 13, "gener": [13, 19, 45, 52, 53, 54, 56, 59, 60, 63, 69, 70, 72, 73, 74, 76, 78, 102, 126, 127, 131, 133, 154, 155, 162, 166], "requir": 13, "develop": [13, 14], "frequent": 14, "ask": 14, "question": 14, "network": [14, 21, 28, 182], "kit": 14, "sw": [14, 19, 21, 52, 53, 55, 59], "chip": [14, 32], "doe": 14, "commun": 14, "interfac": [14, 19, 21, 36, 129, 153, 154, 155, 162, 165, 166], "have": 14, "avail": 14, "ethernet": [14, 16, 19, 129], "standard": 14, "implement": [14, 27, 32], "iso": 14, "osi": 14, "protocol": [14, 55], "jumbo": 14, "packet": [14, 19, 21, 47, 71, 91, 106], "also": 14, "an": [14, 15], "open": 14, "sourc": 14, "dma": [14, 16, 19, 20, 68, 74, 75], "control": [14, 20, 22, 24, 52, 53, 57, 58, 59, 69, 132, 138, 141, 169], "clock": [14, 172], "frequenc": 14, "ar": [14, 15], "stack": 14, "differ": 14, "between": 14, "netfpga": 14, "corundum": 14, "openn": 14, "how": [15, 19, 21, 168], "start": [15, 18, 69], "base": 15, "list": [15, 32], "make": 15, "prepar": 15, "host": 15, "pc": [15, 129], "load": 15, "check": 15, "terminologi": 16, "port": [16, 19, 42, 44, 45, 52, 53, 54, 56, 59, 102, 115, 126, 131, 133, 154, 155, 166], "lane": 16, "channel": [16, 69, 116], "stream": [16, 101, 121], "r": 17, "w": 17, "access": [17, 19, 21, 111], "scratch": 17, "regist": [17, 19, 131, 133, 184], "gl": [17, 77], "tutori": [17, 162], "cocotb": 18, "toplevel": 18, "simul": [18, 168], "quick": [18, 40], "us": [19, 21, 32, 115, 168], "receiv": [19, 21], "from": [19, 21], "transmit": [19, 21], "read": [19, 36], "write": [19, 36], "select": [20, 24], "medusa": 20, "ip": [20, 24, 27], "note": [20, 93, 162], "tab": [21, 27, 85, 111, 115], "1": [21, 27, 32, 85, 102, 111, 115, 126, 132], "logic": [21, 156, 157, 176], "2": [21, 32, 102, 115, 126, 132], "3": [21, 32, 115, 132], "reconfigur": [21, 94, 114, 132], "mac": [21, 131, 133, 153, 159], "lite": [21, 131, 133], "4": [21, 32, 132], "bu": [23, 57, 58, 59, 76, 113, 154, 155, 166], "interconnect": 23, "main": [23, 162], "alloc": 23, "address": [23, 53, 55, 62, 93, 111, 115, 129, 134], "space": [23, 53, 55, 93, 111, 129, 134], "pcie": [24, 63, 135, 136, 137, 139, 141, 183], "pcie_cor": 24, "hard": 24, "unit": [24, 25, 135], "pcie_ctrl": 24, "entiti": [24, 28], "time": [25, 76, 102, 113, 126], "stamp": 25, "timestamp": [25, 97], "signal": [25, 76, 99, 126], "format": [25, 142], "architectur": [26, 45, 46, 47, 49, 57, 59, 94, 106, 114, 115, 129, 131, 133, 141], "f": 27, "tile": 27, "multir": 27, "tip": 27, "tile_multir": 27, "variant": 27, "switch": [27, 77], "profil": 27, "typic": 28, "verif": [28, 29, 35, 68, 74, 85, 130, 162, 185], "plan": [28, 29, 68, 74, 85, 130], "doc": 28, "buffer": [29, 65, 67, 72, 104, 130], "asynchron": [30, 172], "refer": [30, 45, 47, 51, 59, 141, 172, 177], "basic": [31, 162, 176], "tool": [31, 170, 173, 174, 178, 179, 181, 182, 183], "content": [31, 169, 170, 174, 178, 179, 181, 182, 183, 185], "hierarchi": 32, "variabl": 32, "obtain": 32, "properti": [32, 162], "mod": 32, "sv_lib": 32, "synthesi": 32, "advanc": 32, "comp": 32, "target": 32, "synthesizeproject": 32, "init": 32, "phase": 32, "setupdesign": 32, "add": 32, "addinputfil": 32, "implemen": 32, "synthetizedesign": 32, "implementdesign": 32, "final": 32, "savedesign": 32, "other": [32, 141, 162], "featur": [32, 40, 46, 47, 52, 53, 54, 56, 59, 106], "evalfil": 32, "batch": 32, "incomplet": 32, "synth_flag": 32, "arrai": [32, 145, 146, 147, 149, 150, 156, 157, 159], "item": [32, 119, 145, 153, 154, 155, 156, 157, 165, 166], "dsp": [33, 171], "compar": [33, 151], "asfifox": [34, 103], "block": [34, 35, 36, 40, 45, 51, 76, 86, 91, 97, 101, 140, 141], "diagram": [34, 35, 36, 40, 45, 51, 76, 86, 91, 97, 101, 102, 113, 126, 140, 141], "fifox": [35, 36, 105, 127], "multi": [36, 38, 42], "behavior": 36, "barrel": 37, "shifter": 37, "memx": 38, "counter": [38, 46], "h3": 39, "class": [39, 151], "hash": 39, "n_loop_op": 40, "oper": [40, 93, 101, 102, 124, 126, 132], "flow": 40, "situat": 40, "solut": 40, "addit": [40, 47, 106], "reset": [40, 162, 167], "synchron": [41, 162], "sr": 41, "latch": 41, "bram": [42, 44], "np": 43, "lut": 43, "ram": 43, "simpl": [44, 52, 53, 82, 89, 96, 107, 167], "dual": [44, 172], "byte": [44, 136, 137, 145, 146, 147, 149, 150, 159], "enabl": [44, 84, 137], "crossbarx": [45, 81, 101, 104], "event": 46, "captur": 46, "planner": 47, "puls": 48, "short": 48, "transact": [49, 67, 72, 138, 141], "sorter": 49, "sdm": 51, "client": [51, 55], "more": 51, "mem": 52, "logger": [52, 53], "kei": [52, 53, 54, 56, 59], "instanc": [52, 53, 54, 56], "templat": [52, 53, 54, 56], "usag": [52, 53, 76, 93, 111, 149, 162], "data": [53, 94], "warp": 53, "full": 53, "histogram": 54, "jtag": 55, "over": 55, "size": 55, "debug": [55, 170], "hw": 55, "part": 55, "latenc": 56, "meter": 56, "amm_gen": 57, "intern": [57, 59], "amm_prob": 58, "ddr4": 59, "pytest": [59, 60], "pdf": 59, "report": [59, 60, 162], "sub": 59, "mem_test": 60, "softwar": [60, 66, 73], "c": 60, "py": 60, "report_gen": 60, "header": [61, 64, 139], "insertor": [61, 90], "manag": [62, 64, 66, 73, 129, 140], "addr": 63, "subcompon": [64, 68, 69, 70, 72, 74, 75, 86, 127], "input": [65, 162], "rx": [68, 129, 131, 148, 158, 160, 161], "calypt": [68, 74, 75], "uvm": [68, 74, 162, 168, 185], "coverag": [68, 74, 162], "mesur": [68, 74], "delai": [68, 74], "stop": 69, "metadata": [70, 90], "extractor": 70, "dispatch": 71, "tx": [74, 129, 132, 133], "provid": 75, "futur": 75, "expect": 75, "flu": [76, 174], "tabl": [76, 128], "guidelin": 76, "copi": 76, "past": 76, "code": [76, 162], "gen": [77, 89, 95, 96, 115, 143], "loop": 77, "mfb": [78, 79, 80, 82, 83, 84, 85, 87, 88, 89, 92, 94, 95, 96, 98, 99, 102, 103, 105, 106, 107, 132, 163, 168, 178], "distribut": 78, "frame": [79, 80, 85, 86], "extend": 79, "trimmer": 80, "stream2": 81, "cutter": 82, "dropper": 83, "masker": 85, "unpack": 86, "arcitectur": 86, "loopback": 87, "merger": [88, 89], "delay": 91, "pipe": [92, 112], "rate": 93, "limit": [93, 97], "constraint": 94, "side": 94, "effect": 94, "shift": [94, 184], "splitter": [95, 96, 115], "trasform": 98, "auxiliari": 99, "checksum": 100, "calcul": 100, "function": [102, 162], "sof_po": 102, "index": 102, "eof_po": 102, "scenario": [102, 126, 132], "output": 104, "discard": [106, 118], "asfifo": [106, 107], "forc": 106, "pd": 107, "async": 108, "mi2avmm": 109, "mi2axi4": 110, "indirect": 111, "A": 113, "few": 113, "plu": 115, "set": 115, "up": 115, "addr_bas": 115, "mask": 115, "irrelev": 115, "bit": 115, "map": [115, 131, 133], "differnt": 115, "ab": 115, "mvb": [116, 117, 118, 119, 121, 122, 124, 125, 126, 127, 128, 165, 168, 181], "router": 116, "demux": 117, "merg": [119, 121], "shakedown": [120, 125], "merge_n_to_m": 120, "mux": 122, "mvb2mfb": 123, "variou": 126, "vld": 126, "lookup": 128, "40ge": 129, "phy": 129, "ultrascal": 129, "pma": [129, 150, 166], "adapt": [131, 133], "lbu": 132, "state": 132, "machin": 132, "idl": 132, "pkt_process": 132, "pkt_end": 132, "word_realign": 132, "pkt_halt": 132, "realign": 132, "5": 132, "6": 132, "pci_ext_cap": 134, "extra": 134, "endpoint": 134, "id": 134, "convers": 135, "count": 136, "decod": 137, "mtc": 138, "pars": 139, "depars": 139, "ptc": [140, 141], "tag": 140, "tsu": [142, 143, 169], "n": 142, "convertor": 142, "axi": 144, "agent": [144, 145, 153, 154, 155, 156, 157, 162, 163, 164, 165, 166, 167], "sequence_item": [144, 163, 164], "sequenc": [145, 146, 147, 148, 149, 150, 153, 154, 155, 156, 157, 158, 160, 161, 162, 165, 166], "monitor": [145, 146, 147, 149, 150, 153, 154, 155, 156, 157, 162, 165, 166], "lii": [146, 147, 154, 155], "convert": [146, 147, 150], "enviro": [146, 147, 150], "byte_array_mfb": 148, "environ": [148, 149, 158, 160, 161, 162], "top": [148, 158, 161], "low": [148, 158, 160, 161, 162], "inner": [148, 158, 160, 161], "mii": 149, "transit": 149, "sv": 149, "env": 149, "sequence_rx_bas": 149, "sequence_tx_bas": 149, "ce_gener": 149, "wrapper": 149, "ipg_gener": 149, "channel_align": 149, "data_buff": 149, "sequence_rx": 149, "sequence_tx": 149, "common": [151, 162], "random": 151, "fifo": [151, 162, 172], "seg": [153, 159], "driver": [153, 154, 155, 162, 165, 166], "config": [153, 165], "vector": [156, 157], "logic_vector_array_axi": 158, "level": [158, 162], "logic_vector_array_mfb": 160, "op": 160, "logic_vector_mvb": 161, "systemverilog": 162, "methodologi": 162, "ofm": [162, 175], "repositori": 162, "object": 162, "librari": 162, "layer": 162, "high": 162, "run": 162, "virtual": 162, "scoreboard": 162, "request": 162, "respons": 162, "byte_array_port": 162, "model": 162, "creat": 162, "testbench": 162, "uvm_info": 162, "uvm_error": 162, "v": 162, "uvm_fat": 162, "fdo": 162, "sychron": 167, "meta": 168, "singl": 172, "fl": 173, "guid": 175, "element": 176, "miscellan": 180}, "envversion": {"sphinx.domains.c": 2, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 8, "sphinx.domains.index": 1, "sphinx.domains.javascript": 2, "sphinx.domains.math": 2, "sphinx.domains.python": 3, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx": 57}, "alltitles": {"Minimal NDK application": [[0, "minimal-ndk-application"]], "The Memory Testers": [[0, "the-memory-testers"]], "The application MI offsets": [[0, "the-application-mi-offsets"]], "Documentation of Minimal NDK Application": [[1, "documentation-of-minimal-ndk-application"]], "AMD Alveo U200": [[2, "amd-alveo-u200"]], "NDK firmware support": [[2, "ndk-firmware-support"], [3, "ndk-firmware-support"], [4, "ndk-firmware-support"], [5, "ndk-firmware-support"], [6, "ndk-firmware-support"], [7, "ndk-firmware-support"], [8, "ndk-firmware-support"], [9, "ndk-firmware-support"], [10, "ndk-firmware-support"], [11, "ndk-firmware-support"]], "Programming the device": [[2, "programming-the-device"], [3, "programming-the-device"], [4, "programming-the-device"]], "AMD Alveo U55C": [[3, "amd-alveo-u55c"]], "AMD VCU118@VU9P": [[4, "amd-vcu118-vu9p"]], "Bittware IA-420F": [[5, "bittware-ia-420f"]], "Boot instructions (initial)": [[5, "boot-instructions-initial"]], "Intel Stratix 10 DX FPGA DK": [[6, "intel-stratix-10-dx-fpga-dk"]], "Boot instructions": [[6, "boot-instructions"], [7, "boot-instructions"]], "Intel Agilex I-Series FPGA DK": [[7, "intel-agilex-i-series-fpga-dk"]], "ReflexCES XpressSX AGI-FH400G": [[8, "reflexces-xpresssx-agi-fh400g"]], "Board Revision": [[8, "board-revision"]], "Board Test Scripts": [[8, "board-test-scripts"]], "Silicom fb2CGhh@KU15P": [[9, "silicom-fb2cghh-ku15p"]], "Silicom fb4CGg3@VU9P": [[10, "silicom-fb4cgg3-vu9p"]], "Silicom N6010": [[11, "silicom-n6010"]], "Configuration files and parameters": [[12, "configuration-files-and-parameters"]], "Parametrizing NDK-CORE design": [[12, "parametrizing-ndk-core-design"]], "File description": [[12, "file-description"], [12, "id1"]], "core_conf.tcl": [[12, "core-conf-tcl"]], "core_const.tcl": [[12, "core-const-tcl"]], "core.mk": [[12, "core-mk"]], "core_bootstrap.tcl": [[12, "core-bootstrap-tcl"]], "Further work with parameters": [[12, "further-work-with-parameters"], [12, "id2"]], "Passing through Modules.tcl": [[12, "passing-through-modules-tcl"], [12, "id3"]], "Adding constants to the VHDL package": [[12, "adding-constants-to-the-vhdl-package"], [12, "id4"]], "Parametrizing a specific card type": [[12, "parametrizing-a-specific-card-type"]], "card_conf.tcl": [[12, "card-conf-tcl"]], "card_const.tcl": [[12, "card-const-tcl"]], "card.mk": [[12, "card-mk"]], "Parametrizing the user application": [[12, "parametrizing-the-user-application"]], "Configuration files": [[12, "configuration-files"]], "build//Makefile": [[12, "build-card-name-makefile"]], "build//{Vivado,Quartus}.tcl": [[12, "build-card-name-vivado-quartus-tcl"]], "build//app_conf.tcl": [[12, "build-card-name-app-conf-tcl"]], "TL;DR": [[12, "tl-dr"]], "I need to include specific component in CORE depending on a given parameter value": [[12, "i-need-to-include-specific-component-in-core-depending-on-a-given-parameter-value"]], "What can I do with the core_conf.tcl file": [[12, "what-can-i-do-with-the-core-conf-tcl-file"]], "What can I do with the core_const.tcl file": [[12, "what-can-i-do-with-the-core-const-tcl-file"]], "What can I do with the card_conf.tcl file": [[12, "what-can-i-do-with-the-card-conf-tcl-file"]], "What can I do with the card_const.tcl file": [[12, "what-can-i-do-with-the-card-const-tcl-file"]], "What can I do with the app_conf.tcl file": [[12, "what-can-i-do-with-the-app-conf-tcl-file"]], "Contact for author": [[12, "contact-for-author"]], "Device Tree": [[13, "device-tree"], [134, "device-tree"]], "DT integration in build system": [[13, "dt-integration-in-build-system"]], "Location of DTB in the firmware": [[13, "location-of-dtb-in-the-firmware"]], "Example of DTS of one component": [[13, "example-of-dts-of-one-component"]], "Example of generated DTS for FPGA card": [[13, "example-of-generated-dts-for-fpga-card"]], "Requirements for developers": [[13, "requirements-for-developers"]], "Frequently Asked Questions": [[14, "frequently-asked-questions"]], "What is a Network Development Kit (NDK)?": [[14, "what-is-a-network-development-kit-ndk"]], "What SW do I need to build the NDK firmware?": [[14, "what-sw-do-i-need-to-build-the-ndk-firmware"]], "What FPGA chips and cards does NDK support?": [[14, "what-fpga-chips-and-cards-does-ndk-support"]], "What communication interfaces can a NDK applications have available?": [[14, "what-communication-interfaces-can-a-ndk-applications-have-available"]], "What Ethernet standards does NDK support?": [[14, "what-ethernet-standards-does-ndk-support"]], "Does NDK implement ISO/OSI protocol support?": [[14, "does-ndk-implement-iso-osi-protocol-support"]], "Does NDK support Jumbo packets?": [[14, "does-ndk-support-jumbo-packets"]], "Is there also an open-source DMA controller available?": [[14, "is-there-also-an-open-source-dma-controller-available"]], "What clock frequencies are available for the user application?": [[14, "what-clock-frequencies-are-available-for-the-user-application"]], "Is there a SW stack also available for the NDK?": [[14, "is-there-a-sw-stack-also-available-for-the-ndk"]], "What is the difference between NDK and NetFPGA?": [[14, "what-is-the-difference-between-ndk-and-netfpga"]], "What is the difference between NDK and Corundum?": [[14, "what-is-the-difference-between-ndk-and-corundum"]], "What is the difference between NDK and OpenNIC?": [[14, "what-is-the-difference-between-ndk-and-opennic"]], "How to start": [[15, "how-to-start"]], "What dependencies are needed to build an FPGA firmware": [[15, "what-dependencies-are-needed-to-build-an-fpga-firmware"]], "How to build an FPGA firmware with an NDK-based application": [[15, "how-to-build-an-fpga-firmware-with-an-ndk-based-application"]], "List of make parameters:": [[15, "list-of-make-parameters"]], "How to prepare the FPGA card and the host PC": [[15, "how-to-prepare-the-fpga-card-and-the-host-pc"]], "How to load the firmware to an FPGA card": [[15, "how-to-load-the-firmware-to-an-fpga-card"]], "How to check the NDK firmware in the FPGA": [[15, "how-to-check-the-ndk-firmware-in-the-fpga"]], "Further work with the NDK": [[15, "further-work-with-the-ndk"]], "NDK Terminology": [[16, "ndk-terminology"]], "Ethernet Port": [[16, "ethernet-port"]], "Ethernet Lanes": [[16, "ethernet-lanes"]], "Ethernet Channel": [[16, "ethernet-channel"]], "Ethernet Stream": [[16, "ethernet-stream"]], "DMA Stream": [[16, "dma-stream"]], "DMA Channel": [[16, "dma-channel"]], "NDK testing": [[17, "ndk-testing"]], "Testing R/W access to the scratch registers": [[17, "testing-r-w-access-to-the-scratch-registers"]], "GLS module tutorial": [[17, "gls-module-tutorial"]], "Cocotb toplevel simulation core": [[18, "cocotb-toplevel-simulation-core"]], "Quick start": [[18, "quick-start"]], "The Application": [[19, "the-application"]], "How to use the Application interfaces": [[19, "how-to-use-the-application-interfaces"]], "Receiving packets from Ethernet": [[19, "receiving-packets-from-ethernet"]], "Transmitting packets to the Ethernet": [[19, "transmitting-packets-to-the-ethernet"]], "Receiving packets from the DMA module": [[19, "receiving-packets-from-the-dma-module"]], "Transmitting packets to the DMA module": [[19, "transmitting-packets-to-the-dma-module"]], "Read/write access to the Application registers from SW": [[19, "read-write-access-to-the-application-registers-from-sw"]], "Ports and generics of the Application": [[19, "ports-and-generics-of-the-application"]], "The DMA module": [[20, "the-dma-module"]], "Selecting a DMA controller": [[20, "selecting-a-dma-controller"]], "DMA Medusa IP notes": [[20, "dma-medusa-ip-notes"]], "The Network Module": [[21, "the-network-module"]], "Tab. 1": [[21, "id6"], [85, "id2"], [111, "id2"], [115, "id2"]], "How to use the Network Module interfaces": [[21, "how-to-use-the-network-module-interfaces"]], "Receiving packets from the Application": [[21, "receiving-packets-from-the-application"]], "Transmitting packets to the Application": [[21, "transmitting-packets-to-the-application"]], "SW access to the Network Module Cores and Network Module Logics": [[21, "sw-access-to-the-network-module-cores-and-network-module-logics"]], "Tab. 2": [[21, "id7"], [115, "id3"]], "Tab. 3": [[21, "id8"], [115, "id4"]], "Network Module Core": [[21, "network-module-core"]], "SW access to the reconfiguration interfaces": [[21, "sw-access-to-the-reconfiguration-interfaces"]], "Network Module Logic": [[21, "network-module-logic"]], "SW access to the MAC Lites": [[21, "sw-access-to-the-mac-lites"]], "Tab. 4": [[21, "id9"]], "The Memory Controller": [[22, "the-memory-controller"]], "The MI bus interconnect": [[23, "the-mi-bus-interconnect"]], "The main allocation of the MI address space": [[23, "the-main-allocation-of-the-mi-address-space"]], "The PCIe module": [[24, "the-pcie-module"]], "Selecting a PCIe configuration": [[24, "selecting-a-pcie-configuration"]], "The PCIe Core (PCIE_CORE)": [[24, "the-pcie-core-pcie-core"]], "Supported PCIe Hard IP": [[24, "supported-pcie-hard-ip"]], "The PCIe Control unit (PCIE_CTRL)": [[24, "the-pcie-control-unit-pcie-ctrl"]], "The PCIe module entity": [[24, "the-pcie-module-entity"]], "Time Stamp Unit": [[25, "time-stamp-unit"]], "Timestamp signals": [[25, "timestamp-signals"]], "Timestamp format": [[25, "timestamp-format"]], "NDK architecture": [[26, "ndk-architecture"]], "F-Tile Multirate IP": [[27, "f-tile-multirate-ip"]], "Implemented IP cores": [[27, "implemented-ip-cores"]], "Build tips": [[27, "build-tips"]], "Tab. 1 F-Tile_Multirate IPs variants": [[27, "id1"]], "Switching profiles": [[27, "switching-profiles"]], "NETWORK MODULE": [[28, "network-module"]], "Typical Configurations": [[28, "typical-configurations"]], "Verification Plan": [[28, "verification-plan"], [29, "verification-plan"], [68, "verification-plan"], [74, "verification-plan"], [130, "verification-plan"]], "Entity Docs": [[28, "entity-docs"]], "BUFFER": [[29, "buffer"], [130, "buffer"]], "Asynchronous modules": [[30, "asynchronous-modules"]], "References": [[30, "references"], [45, "references"], [47, "references"], [59, "references"], [141, "references"], [172, "references"], [177, "references"]], "Basic Tools": [[31, "basic-tools"]], "Content:": [[31, null], [169, null], [170, null], [174, null], [178, null], [179, null], [181, null], [182, null], [183, null]], "Build System": [[32, "build-system"]], "Hierarchy description in Modules.tcl": [[32, "hierarchy-description-in-modules-tcl"]], "Variables in Modules.tcl obtained by the build system": [[32, "variables-in-modules-tcl-obtained-by-the-build-system"]], "List of properties used in MOD variables": [[32, "list-of-properties-used-in-mod-variables"]], "Example of using properties": [[32, "example-of-using-properties"]], "List of properties used in SV_LIBS": [[32, "list-of-properties-used-in-sv-libs"]], "Example of using Modules.tcl variables": [[32, "example-of-using-modules-tcl-variables"]], "Component synthesis": [[32, "component-synthesis"]], "Advanced synthesis configuration": [[32, "advanced-synthesis-configuration"]], "Example of Makefile for component synthesis": [[32, "example-of-makefile-for-component-synthesis"]], "The comp target in Makefile": [[32, "the-comp-target-in-makefile"]], "Chip design synthesis and implementation": [[32, "chip-design-synthesis-and-implementation"]], "SynthesizeProject": [[32, "synthesizeproject"]], "1. Init phase (SetupDesign)": [[32, "init-phase-setupdesign"]], "2. File add phase (AddInputFiles)": [[32, "file-add-phase-addinputfiles"]], "3. Synthesis and Implemenation (SynthetizeDesign, ImplementDesign)": [[32, "synthesis-and-implemenation-synthetizedesign-implementdesign"]], "4. Final phase (SaveDesign)": [[32, "final-phase-savedesign"]], "Other features of the build system": [[32, "other-features-of-the-build-system"]], "EvalFile": [[32, "evalfile"]], "Batch feature in EvalFile": [[32, "batch-feature-in-evalfile"]], "Makefile": [[32, "makefile"]], "The (incomplete) list of SYNTH_FLAGS array items": [[32, "the-incomplete-list-of-synth-flags-array-items"]], "DSP Comparator": [[33, "dsp-comparator"]], "ASFIFOX": [[34, "asfifox"]], "Block diagram": [[34, "block-diagram"], [35, "block-diagram"], [36, "block-diagram"], [40, "block-diagram"], [45, "block-diagram"], [51, "block-diagram"], [86, "block-diagram"], [91, "block-diagram"], [97, "block-diagram"], [101, "block-diagram"], [140, "block-diagram"], [141, "block-diagram"]], "FIFOX": [[35, "fifox"]], "Verification": [[35, "verification"]], "Verification block diagram": [[35, "verification-block-diagram"]], "FIFOX Multi": [[36, "fifox-multi"]], "Write interface behavior": [[36, "write-interface-behavior"]], "Read interface behavior": [[36, "read-interface-behavior"]], "Barrel Shifter": [[37, "barrel-shifter"]], "Multi MEMx Counter": [[38, "multi-memx-counter"]], "H3 Class Hash": [[39, "h3-class-hash"]], "N_LOOP_OP": [[40, "n-loop-op"]], "Operator flow": [[40, "operator-flow"]], "Situation": [[40, "situation"]], "Solution": [[40, "solution"]], "Additional Features": [[40, "additional-features"], [106, "additional-features"]], "Quick reset": [[40, "quick-reset"]], "Synchronous SR latch": [[41, "synchronous-sr-latch"]], "Multi-port BRAM": [[42, "multi-port-bram"]], "NP LUT RAM": [[43, "np-lut-ram"]], "Simple dual-port BRAM": [[44, "simple-dual-port-bram"]], "Simple dual-port BRAM with Byte Enable": [[44, "simple-dual-port-bram-with-byte-enable"]], "CrossbarX": [[45, "crossbarx"]], "Generics": [[45, "generics"], [154, "generics"], [155, "generics"], [166, "generics"]], "Ports": [[45, "ports"], [154, "ports"], [155, "ports"], [166, "ports"]], "Architecture": [[45, "architecture"], [46, "architecture"], [47, "architecture"], [49, "architecture"], [94, "architecture"], [106, "architecture"], [114, "architecture"], [115, "architecture"], [129, "architecture"], [131, "architecture"], [133, "architecture"]], "Event Counter": [[46, "event-counter"]], "Capture feature": [[46, "capture-feature"]], "Packet Planner": [[47, "packet-planner"]], "Additional features": [[47, "additional-features"]], "Pulse short": [[48, "pulse-short"]], "Transaction Sorter": [[49, "transaction-sorter"]], "Packages": [[50, "packages"]], "SDM CLIENT": [[51, "sdm-client"]], "Specification": [[51, "specification"], [109, "specification"]], "More references": [[51, "more-references"]], "Mem logger": [[52, "mem-logger"]], "Key features": [[52, "key-features"], [53, "key-features"], [54, "key-features"], [56, "key-features"], [59, "key-features"]], "Component port and generics description": [[52, "component-port-and-generics-description"], [53, "component-port-and-generics-description"], [54, "component-port-and-generics-description"], [56, "component-port-and-generics-description"], [59, "component-port-and-generics-description"]], "Instance template (simple usage)": [[52, "instance-template-simple-usage"], [53, "instance-template-simple-usage"]], "Control SW": [[52, "control-sw"], [53, "control-sw"], [59, "control-sw"]], "Data logger": [[53, "data-logger"]], "Data logger warping component": [[53, "data-logger-warping-component"]], "Instance template (full usage)": [[53, "instance-template-full-usage"]], "MI address space": [[53, "mi-address-space"]], "Histogramer": [[54, "histogramer"]], "Instance template": [[54, "instance-template"], [56, "instance-template"]], "JTAG-over-protocol Client": [[55, "jtag-over-protocol-client"]], "Address space size": [[55, "address-space-size"]], "Debugging - HW part": [[55, "debugging-hw-part"]], "Debugging - SW part": [[55, "debugging-sw-part"]], "Debugging - DEBUG part": [[55, "debugging-debug-part"]], "Latency meter": [[56, "latency-meter"]], "AMM_GEN": [[57, "amm-gen"]], "Internal Architecture": [[57, "internal-architecture"], [59, "internal-architecture"]], "MI Bus Control": [[57, "mi-bus-control"], [58, "mi-bus-control"], [59, "mi-bus-control"]], "AMM_PROBE": [[58, "amm-probe"]], "DDR4 Memory Tester": [[59, "ddr4-memory-tester"]], "Pytest SW": [[59, "pytest-sw"]], "PDF report generator SW": [[59, "pdf-report-generator-sw"]], "Sub-components": [[59, "sub-components"]], "MEM_TESTER Software": [[60, "mem-tester-software"]], "C Program": [[60, "c-program"]], "Pytest Tester (mem_tester.py)": [[60, "pytest-tester-mem-tester-py"]], "Report Generator (report_gen.py)": [[60, "report-generator-report-gen-py"]], "Header Insertor": [[61, "header-insertor"]], "Address Manager": [[62, "address-manager"]], "PCIe Addres Generator": [[63, "pcie-addres-generator"]], "Header Manager": [[64, "header-manager"]], "Subcomponents:": [[64, null]], "Input Buffer": [[65, "input-buffer"]], "Software Manager": [[66, "software-manager"], [73, "software-manager"]], "Transaction Buffer": [[67, "transaction-buffer"]], "RX DMA Calypte": [[68, "rx-dma-calypte"]], "Subcomponents": [[68, "subcomponents"], [68, null], [75, "subcomponents"], [86, "subcomponents"]], "UVM Verification": [[68, "uvm-verification"], [74, "uvm-verification"], [185, "uvm-verification"]], "Coverage Mesure": [[68, "coverage-mesure"], [74, "coverage-mesure"]], "test configuration": [[68, "id2"]], "coverage": [[68, "id3"], [74, "id2"]], "delay": [[68, "id4"], [74, "id3"]], "Channel Start/stop control": [[69, "channel-start-stop-control"]], "General subcomponents": [[69, "general-subcomponents"], [70, "general-subcomponents"], [72, "general-subcomponents"], [74, "general-subcomponents"], [127, "general-subcomponents"]], "Metadata Extractor": [[70, "metadata-extractor"]], "Packet Dispatcher": [[71, "packet-dispatcher"]], "Transaction buffer": [[72, "transaction-buffer"]], "General components": [[73, "general-components"]], "TX DMA Calypte": [[74, "tx-dma-calypte"]], "Specific subcomponents": [[74, null]], "DMA Calypte": [[75, "dma-calypte"]], "Provided DMA configurations": [[75, "provided-dma-configurations"]], "Future expected": [[75, "future-expected"]], "FLU bus specification": [[76, "flu-bus-specification"]], "Table of generics": [[76, "table-of-generics"]], "Table of signals": [[76, "table-of-signals"]], "Usage guidelines": [[76, "usage-guidelines"]], "Timing diagram example": [[76, "timing-diagram-example"]], "Copy-paste code blocks": [[76, "copy-paste-code-blocks"]], "Gen Loop Switch (GLS)": [[77, "gen-loop-switch-gls"]], "MFB Generator": [[78, "mfb-generator"]], "Distribution examples": [[78, "distribution-examples"]], "MFB FRAME EXTENDER": [[79, "mfb-frame-extender"]], "MFB FRAME TRIMMER": [[80, "mfb-frame-trimmer"]], "CROSSBARX STREAM2": [[81, "crossbarx-stream2"]], "MFB Cutter Simple": [[82, "mfb-cutter-simple"]], "MFB Dropper": [[83, "mfb-dropper"]], "MFB Enabler": [[84, "mfb-enabler"]], "MFB Frame Masker": [[85, "mfb-frame-masker"]], "Component specification": [[85, "component-specification"]], "Examples": [[85, "examples"], [168, "examples"]], "Verification plan": [[85, "verification-plan"]], "Frame Unpacker": [[86, "frame-unpacker"]], "Arcitecture": [[86, "arcitecture"]], "MFB Loopback": [[87, "mfb-loopback"]], "MFB Merger": [[88, "mfb-merger"]], "MFB Merger Simple": [[89, "mfb-merger-simple"]], "MFB Merger Simple GEN": [[89, "id1"]], "Metadata Insertor": [[90, "metadata-insertor"]], "Packet Delayer": [[91, "packet-delayer"]], "MFB PIPE": [[92, "mfb-pipe"]], "Rate Limiter": [[93, "rate-limiter"]], "Operation": [[93, "operation"], [102, "operation"], [126, "operation"], [132, "operation"]], "Address space and configuration": [[93, "address-space-and-configuration"]], "Usage": [[93, "usage"], [111, "usage"], [149, "usage"]], "Notes": [[93, "notes"]], "MFB Reconfigurator": [[94, "mfb-reconfigurator"]], "Constraints and side-effects": [[94, "constraints-and-side-effects"]], "Data shifting": [[94, "data-shifting"]], "MFB Splitter": [[95, "mfb-splitter"]], "MFB Splitter Gen": [[95, "id1"]], "MFB Splitter Simple": [[96, "mfb-splitter-simple"]], "MFB Splitter Simple Gen": [[96, "id1"]], "Timestamp Limiter": [[97, "timestamp-limiter"]], "MFB Trasformer": [[98, "mfb-trasformer"]], "MFB Auxiliary Signals": [[99, "mfb-auxiliary-signals"]], "Checksum Calculator": [[100, "checksum-calculator"]], "CrossbarX Stream": [[101, "crossbarx-stream"]], "Operations": [[101, "operations"]], "MFB specification": [[102, "mfb-specification"]], "Generic parameters": [[102, "generic-parameters"], [126, "generic-parameters"]], "Port description": [[102, "port-description"], [126, "port-description"]], "Example of function of the SOF_POS index": [[102, "example-of-function-of-the-sof-pos-index"]], "Example of function of the EOF_POS index": [[102, "example-of-function-of-the-eof-pos-index"]], "Timing diagrams": [[102, "timing-diagrams"], [126, "timing-diagrams"]], "Scenario 1": [[102, "scenario-1"], [126, "scenario-1"], [132, "scenario-1"]], "Scenario 2": [[102, "scenario-2"], [126, "scenario-2"], [132, "scenario-2"]], "Example configurations": [[102, "example-configurations"]], "MFB ASFIFOX": [[103, "mfb-asfifox"]], "Crossbarx Output Buffer": [[104, "crossbarx-output-buffer"]], "MFB FIFOX": [[105, "mfb-fifox"]], "MFB Packet Discard ASFIFO": [[106, "mfb-packet-discard-asfifo"]], "Force Discard": [[106, "force-discard"]], "MFB PD ASFIFO SIMPLE": [[107, "mfb-pd-asfifo-simple"]], "MI ASYNC": [[108, "mi-async"]], "MI2AVMM": [[109, "mi2avmm"]], "MI2AXI4": [[110, "mi2axi4"]], "MI indirect access": [[111, "mi-indirect-access"]], "Address space": [[111, "address-space"], [129, "address-space"], [134, "id2"]], "MI Pipe": [[112, "mi-pipe"]], "MI bus specification": [[113, "mi-bus-specification"]], "MI bus description": [[113, "mi-bus-description"]], "A few timing diagrams": [[113, "a-few-timing-diagrams"]], "MI Reconfigurator": [[114, "mi-reconfigurator"]], "MI Splitter Plus Gen": [[115, "mi-splitter-plus-gen"]], "Examples of use": [[115, "examples-of-use"]], "Example 1 - setting up ADDR_BASE": [[115, "example-1-setting-up-addr-base"]], "Example 2 - masking irrelevant bits of the address": [[115, "example-2-masking-irrelevant-bits-of-the-address"]], "Example 3 - mapping ports to differnt ABs": [[115, "example-3-mapping-ports-to-differnt-abs"]], "MVB Channel Router": [[116, "mvb-channel-router"]], "MVB DEMUX": [[117, "mvb-demux"]], "MVB DISCARD": [[118, "mvb-discard"]], "MVB Merge Items": [[119, "mvb-merge-items"]], "SHAKEDOWN": [[120, "shakedown"]], "MERGE_N_TO_M": [[120, "merge-n-to-m"]], "MVB Merge Streams": [[121, "mvb-merge-streams"]], "MVB MUX": [[122, "mvb-mux"]], "MVB2MFB": [[123, "mvb2mfb"]], "MVB Operation": [[124, "mvb-operation"]], "MVB Shakedown": [[125, "mvb-shakedown"]], "MVB Specification": [[126, "mvb-specification"]], "Examples of various VLD signal values": [[126, "examples-of-various-vld-signal-values"]], "MVB FIFOX": [[127, "mvb-fifox"]], "MVB Lookup Table": [[128, "mvb-lookup-table"]], "40GE Ethernet PHY for Ultrascale+ FPGAs": [[129, "ge-ethernet-phy-for-ultrascale-fpgas"]], "Interface": [[129, "interface"], [153, "interface"], [162, "interface"], [165, "interface"]], "TX PCS": [[129, "tx-pcs"]], "RX PCS": [[129, "rx-pcs"]], "PMA": [[129, "pma"]], "Management": [[129, "management"]], "RX MAC LITE": [[131, "rx-mac-lite"]], "Adapter": [[131, "adapter"], [133, "adapter"]], "Register Map": [[131, "register-map"], [133, "register-map"]], "Ports and Generics": [[131, "ports-and-generics"], [133, "ports-and-generics"]], "MFB -> LBUS reconfigurator (TX LBUS)": [[132, "mfb-lbus-reconfigurator-tx-lbus"]], "Controlling state machine": [[132, "controlling-state-machine"]], "IDLE": [[132, "idle"]], "PKT_PROCESS": [[132, "pkt-process"]], "PKT_END": [[132, "pkt-end"]], "WORD_REALIGN": [[132, "word-realign"]], "PKT_HALT": [[132, "pkt-halt"]], "Examples of realignment": [[132, "examples-of-realignment"]], "Scenario 3": [[132, "scenario-3"]], "Scenario 4": [[132, "scenario-4"]], "Scenario 5": [[132, "scenario-5"]], "Scenario 6": [[132, "scenario-6"]], "TX MAC LITE": [[133, "tx-mac-lite"]], "PCI_EXT_CAP": [[134, "pci-ext-cap"]], "Extra space": [[134, "id3"], [134, "extra-space"]], "Endpoint ID": [[134, "endpoint-id"]], "Card ID": [[134, "card-id"]], "PCIE CONVERSION UNITS": [[135, "pcie-conversion-units"]], "PCIE Byte Count": [[136, "pcie-byte-count"]], "PCIe Byte Enable Decoder": [[137, "pcie-byte-enable-decoder"]], "MTC (MI Transaction Controller)": [[138, "mtc-mi-transaction-controller"]], "PCIE Header parsing/deparsing": [[139, "pcie-header-parsing-deparsing"]], "PTC Tag Manager": [[140, "ptc-tag-manager"]], "PTC (PCIe Transaction Controller)": [[141, "ptc-pcie-transaction-controller"]], "Other components": [[141, "other-components"]], "Architecture configurations": [[141, "architecture-configurations"]], "TSU Format to ns Convertor": [[142, "tsu-format-to-ns-convertor"]], "TSU GEN": [[143, "tsu-gen"]], "AXI Agent": [[144, "axi-agent"]], "sequence_item": [[144, "sequence-item"], [163, "sequence-item"]], "Byte Array agent": [[145, "byte-array-agent"]], "Byte Array sequence item": [[145, "byte-array-sequence-item"]], "Byte Array monitor": [[145, "byte-array-monitor"]], "Byte Array Sequence": [[145, "byte-array-sequence"]], "Sequence configuration": [[145, "sequence-configuration"], [156, "sequence-configuration"], [157, "sequence-configuration"]], "Byte Array to LII convert enviroment": [[146, "byte-array-to-lii-convert-enviroment"], [147, "byte-array-to-lii-convert-enviroment"]], "Byte Array to LII monitor": [[146, "byte-array-to-lii-monitor"], [147, "byte-array-to-lii-monitor"]], "Byte Array to LII Sequence": [[146, "byte-array-to-lii-sequence"], [147, "byte-array-to-lii-sequence"]], "Byte_array_mfb environment": [[148, "byte-array-mfb-environment"]], "Top sequencers and sequences": [[148, "top-sequencers-and-sequences"], [158, "top-sequencers-and-sequences"], [161, "top-sequencers-and-sequences"]], "Configuration": [[148, "configuration"], [158, "configuration"], [160, "configuration"], [161, "configuration"]], "Low sequence configuration": [[148, "low-sequence-configuration"], [160, "low-sequence-configuration"], [161, "low-sequence-configuration"]], "RX Inner sequences": [[148, "rx-inner-sequences"], [158, "rx-inner-sequences"], [160, "rx-inner-sequences"], [161, "rx-inner-sequences"]], "Byte array to MII transitional environment": [[149, "byte-array-to-mii-transitional-environment"]], "monitor.sv": [[149, "monitor-sv"]], "sequencer.sv": [[149, "sequencer-sv"]], "env.sv": [[149, "env-sv"]], "sequence_rx_base.sv": [[149, "sequence-rx-base-sv"]], "sequence_tx_base.sv": [[149, "sequence-tx-base-sv"]], "ce_generator.sv": [[149, "ce-generator-sv"]], "wrapper.sv": [[149, "wrapper-sv"]], "ipg_generator.sv": [[149, "ipg-generator-sv"]], "channel_align.sv": [[149, "channel-align-sv"]], "data_buffer.sv": [[149, "data-buffer-sv"]], "sequence_rx.sv and sequence_tx.sv": [[149, "sequence-rx-sv-and-sequence-tx-sv"]], "Byte array to pma convert enviroment": [[150, "byte-array-to-pma-convert-enviroment"]], "Byte Array to PMA monitor": [[150, "byte-array-to-pma-monitor"]], "Byte Array to PMA Sequence": [[150, "byte-array-to-pma-sequence"]], "Common package": [[151, "common-package"]], "Random": [[151, "random"]], "Comparer": [[151, "comparer"]], "comparer classes": [[151, "id1"], [151, "id2"], [151, "id3"]], "fifo": [[151, "fifo"]], "Components": [[152, "components"]], "Components:": [[152, null]], "Intel MAC SEG": [[153, "intel-mac-seg"]], "Sequence item": [[153, "sequence-item"], [165, "sequence-item"]], "Sequence": [[153, "sequence"], [162, "sequence"], [165, "sequence"]], "Driver": [[153, "driver"], [162, "driver"], [165, "driver"]], "Monitor": [[153, "monitor"], [165, "monitor"]], "Config": [[153, "config"], [165, "config"]], "Agent": [[153, "agent"], [162, "agent"], [165, "agent"]], "LII agent": [[154, "lii-agent"], [155, "lii-agent"]], "LII interface": [[154, "lii-interface"], [155, "lii-interface"]], "LII bus description": [[154, "lii-bus-description"], [155, "lii-bus-description"]], "LII sequence item": [[154, "lii-sequence-item"], [155, "lii-sequence-item"]], "LII monitor": [[154, "lii-monitor"], [155, "lii-monitor"]], "LII driver": [[154, "lii-driver"], [155, "lii-driver"]], "Logic vector agent": [[156, "logic-vector-agent"]], "Logic Vector Array sequence item": [[156, "logic-vector-array-sequence-item"], [157, "logic-vector-array-sequence-item"]], "Logic Vector Array monitor": [[156, "logic-vector-array-monitor"], [157, "logic-vector-array-monitor"]], "Logic Vector Array Sequence": [[156, "logic-vector-array-sequence"], [157, "logic-vector-array-sequence"]], "Logic Vector Array agent": [[157, "logic-vector-array-agent"]], "logic_vector_array_axi environment": [[158, "logic-vector-array-axi-environment"]], "Low-level sequence configuration": [[158, "low-level-sequence-configuration"]], "byte array to MAC SEG": [[159, "byte-array-to-mac-seg"]], "logic_vector_array_mfb environment": [[160, "logic-vector-array-mfb-environment"]], "op sequencers and sequences": [[160, "op-sequencers-and-sequences"]], "logic_vector_mvb environment": [[161, "logic-vector-mvb-environment"]], "SystemVerilog and UVM tutorial": [[162, "systemverilog-and-uvm-tutorial"]], "Other tutorials": [[162, "other-tutorials"]], "Basic usage of the UVM methodology in the OFM repository": [[162, "basic-usage-of-the-uvm-methodology-in-the-ofm-repository"]], "Properties": [[162, "properties"], [162, "id5"]], "Configuration object": [[162, "configuration-object"], [162, "id1"]], "Sequence library": [[162, "sequence-library"], [162, "id2"]], "Package": [[162, "package"]], "Layered agents": [[162, "layered-agents"]], "Environment": [[162, "environment"]], "Low-level sequence": [[162, "low-level-sequence"]], "High-level monitor": [[162, "high-level-monitor"]], "Run of a specific sequence": [[162, "run-of-a-specific-sequence"]], "Common environment": [[162, "common-environment"]], "Virtual sequencer": [[162, "virtual-sequencer"]], "Virtual sequence and synchronization": [[162, "virtual-sequence-and-synchronization"]], "Scoreboard": [[162, "scoreboard"], [162, "id3"], [162, "id4"]], "Request-response Agents": [[162, "request-response-agents"]], "Reset": [[162, "reset"]], "Coverage": [[162, "coverage"]], "Functional coverage": [[162, "functional-coverage"]], "Code coverage": [[162, "code-coverage"]], "Generating coverage reports": [[162, "generating-coverage-reports"]], "Verification example": [[162, "verification-example"]], "Byte_array_port environment": [[162, "byte-array-port-environment"]], "Model": [[162, "model"]], "Create model input fifo": [[162, "create-model-input-fifo"]], "Test environment": [[162, "test-environment"]], "Test": [[162, "test"]], "Testbench": [[162, "testbench"]], "NOTES": [[162, "notes"]], "UVM_info": [[162, "uvm-info"]], "uvm_info": [[162, "id6"]], "UVM_error vs UVM_fatal": [[162, "uvm-error-vs-uvm-fatal"]], "Parametrized object": [[162, "parametrized-object"]], "Synchronization": [[162, "synchronization"]], "OFM verification environment": [[162, "ofm-verification-environment"]], "Modules.tcl": [[162, "modules-tcl"]], "Main .fdo script for running the verification": [[162, "main-fdo-script-for-running-the-verification"]], "MFB Agent": [[163, "mfb-agent"]], "MI agent": [[164, "mi-agent"]], "Sequence_item": [[164, "sequence-item"]], "MVB agent": [[165, "mvb-agent"]], "PMA agent": [[166, "pma-agent"]], "PMA interface": [[166, "pma-interface"]], "PMA bus description": [[166, "pma-bus-description"]], "PMA sequence item": [[166, "pma-sequence-item"]], "PMA monitor": [[166, "pma-monitor"]], "PMA driver": [[166, "pma-driver"]], "RESET agent": [[167, "reset-agent"]], "simple sychronous RESET agents": [[167, "simple-sychronous-reset-agents"]], "UVM simulation": [[168, "uvm-simulation"]], "MFB + MI": [[168, "mfb-mi"]], "MFB + META/MVB": [[168, "mfb-meta-mvb"]], "MVB + MI": [[168, "mvb-mi"]], "How to use the UVM simulation": [[168, "how-to-use-the-uvm-simulation"]], "Controllers & TSU": [[169, "controllers-tsu"]], "Debug Tools": [[170, "debug-tools"]], "DSP components": [[171, "dsp-components"]], "FIFO components": [[172, "fifo-components"]], "Dual clock (asynchronous) FIFOs": [[172, "dual-clock-asynchronous-fifos"]], "Single clock FIFOs": [[172, "single-clock-fifos"]], "FL Tools": [[173, "fl-tools"]], "FLU Tools": [[174, "flu-tools"]], "OFM User Guide": [[175, "ofm-user-guide"]], "Basic logic elements": [[176, "basic-logic-elements"]], "Memory modules": [[177, "memory-modules"]], "MFB Tools": [[178, "mfb-tools"]], "MI Tools": [[179, "mi-tools"]], "Miscellaneous": [[180, "miscellaneous"]], "MVB Tools": [[181, "mvb-tools"]], "Network Tools": [[182, "network-tools"]], "PCIe Tools": [[183, "pcie-tools"]], "Shift registers": [[184, "shift-registers"]], "Contents:": [[185, null]]}, "indexentries": {}}) \ No newline at end of file diff --git a/devel/vhdl-typeindex.html b/devel/vhdl-typeindex.html index 003c37151..b18587ae2 100644 --- a/devel/vhdl-typeindex.html +++ b/devel/vhdl-typeindex.html @@ -42,7 +42,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: c2c52064 + Git branch: devel,
Git hash: a1d820af