-
Notifications
You must be signed in to change notification settings - Fork 70
/
Copy pathpic_datamem_ecp5.v
142 lines (134 loc) · 12.2 KB
/
pic_datamem_ecp5.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
/* Verilog netlist generated by SCUBA Diamond (64-bit) 3.10.2.115.2 */
/* Module Version: 7.5 */
/* /home/jeroen/diamond/ispfpga/bin/lin64/scuba -w -n pic_datamem -lang verilog -synth lse -bus_exp 7 -bb -arch sa5p00 -type bram -wp 11 -rp 1010 -data_width 8 -rdata_width 8 -num_rows 256 -cascade -1 -mem_init0 -writemodeA NORMAL -writemodeB NORMAL -fdc /tmp/test/pic_datamem/pic_datamem.fdc */
/* Thu Nov 14 22:44:10 2019 */
`timescale 1 ns / 1 ps
module pic_datamem (DataInA, DataInB, AddressA, AddressB, ClockA,
ClockB, ClockEnA, ClockEnB, WrA, WrB, ResetA, ResetB, QA, QB)/* synthesis NGD_DRC_MASK=1 */;
input wire [7:0] DataInA;
input wire [7:0] DataInB;
input wire [7:0] AddressA;
input wire [7:0] AddressB;
input wire ClockA;
input wire ClockB;
input wire ClockEnA;
input wire ClockEnB;
input wire WrA;
input wire WrB;
input wire ResetA;
input wire ResetB;
output wire [7:0] QA;
output wire [7:0] QB;
wire scuba_vhi;
wire scuba_vlo;
assign scuba_vhi = 1;
assign scuba_vlo = 0;
defparam pic_datamem_0_0_0.ASYNC_RESET_RELEASE = "SYNC" ;
defparam pic_datamem_0_0_0.INITVAL_3F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_3E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_3D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_3C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_3B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_3A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_39 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_38 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_37 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_36 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_35 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_34 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_33 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_32 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_31 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_30 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_2F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_2E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_2D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_2C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_2B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_2A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_29 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_28 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_27 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_26 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_25 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_24 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_23 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_22 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_21 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_20 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_1F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_09 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_08 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_07 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_06 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_05 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_04 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_03 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_02 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_01 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.INITVAL_00 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam pic_datamem_0_0_0.CSDECODE_B = "0b000" ;
defparam pic_datamem_0_0_0.CSDECODE_A = "0b000" ;
defparam pic_datamem_0_0_0.WRITEMODE_B = "NORMAL" ;
defparam pic_datamem_0_0_0.WRITEMODE_A = "NORMAL" ;
defparam pic_datamem_0_0_0.GSR = "ENABLED" ;
defparam pic_datamem_0_0_0.RESETMODE = "ASYNC" ;
defparam pic_datamem_0_0_0.REGMODE_B = "NOREG" ;
defparam pic_datamem_0_0_0.REGMODE_A = "NOREG" ;
defparam pic_datamem_0_0_0.DATA_WIDTH_B = 9 ;
defparam pic_datamem_0_0_0.DATA_WIDTH_A = 9 ;
DP16KD pic_datamem_0_0_0 (.DIA17(scuba_vlo), .DIA16(scuba_vlo),
.DIA15(scuba_vlo), .DIA14(scuba_vlo), .DIA13(scuba_vlo), .DIA12(scuba_vlo),
.DIA11(scuba_vlo), .DIA10(scuba_vlo), .DIA9(scuba_vlo), .DIA8(scuba_vlo),
.DIA7(DataInA[7]), .DIA6(DataInA[6]), .DIA5(DataInA[5]), .DIA4(DataInA[4]),
.DIA3(DataInA[3]), .DIA2(DataInA[2]), .DIA1(DataInA[1]), .DIA0(DataInA[0]),
.ADA13(scuba_vlo), .ADA12(scuba_vlo), .ADA11(scuba_vlo), .ADA10(AddressA[7]),
.ADA9(AddressA[6]), .ADA8(AddressA[5]), .ADA7(AddressA[4]), .ADA6(AddressA[3]),
.ADA5(AddressA[2]), .ADA4(AddressA[1]), .ADA3(AddressA[0]), .ADA2(scuba_vlo),
.ADA1(scuba_vlo), .ADA0(scuba_vlo), .CEA(ClockEnA), .OCEA(ClockEnA),
.CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo), .CSA0(scuba_vlo),
.RSTA(ResetA), .DIB17(scuba_vlo), .DIB16(scuba_vlo), .DIB15(scuba_vlo),
.DIB14(scuba_vlo), .DIB13(scuba_vlo), .DIB12(scuba_vlo), .DIB11(scuba_vlo),
.DIB10(scuba_vlo), .DIB9(scuba_vlo), .DIB8(scuba_vlo), .DIB7(DataInB[7]),
.DIB6(DataInB[6]), .DIB5(DataInB[5]), .DIB4(DataInB[4]), .DIB3(DataInB[3]),
.DIB2(DataInB[2]), .DIB1(DataInB[1]), .DIB0(DataInB[0]), .ADB13(scuba_vlo),
.ADB12(scuba_vlo), .ADB11(scuba_vlo), .ADB10(AddressB[7]), .ADB9(AddressB[6]),
.ADB8(AddressB[5]), .ADB7(AddressB[4]), .ADB6(AddressB[3]), .ADB5(AddressB[2]),
.ADB4(AddressB[1]), .ADB3(AddressB[0]), .ADB2(scuba_vlo), .ADB1(scuba_vlo),
.ADB0(scuba_vlo), .CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB),
.WEB(WrB), .CSB2(scuba_vlo), .CSB1(scuba_vlo), .CSB0(scuba_vlo),
.RSTB(ResetB), .DOA17(), .DOA16(), .DOA15(), .DOA14(), .DOA13(),
.DOA12(), .DOA11(), .DOA10(), .DOA9(), .DOA8(), .DOA7(QA[7]), .DOA6(QA[6]),
.DOA5(QA[5]), .DOA4(QA[4]), .DOA3(QA[3]), .DOA2(QA[2]), .DOA1(QA[1]),
.DOA0(QA[0]), .DOB17(), .DOB16(), .DOB15(), .DOB14(), .DOB13(),
.DOB12(), .DOB11(), .DOB10(), .DOB9(), .DOB8(), .DOB7(QB[7]), .DOB6(QB[6]),
.DOB5(QB[5]), .DOB4(QB[4]), .DOB3(QB[3]), .DOB2(QB[2]), .DOB1(QB[1]),
.DOB0(QB[0]))
/* synthesis MEM_LPC_FILE="pic_datamem.lpc" */
/* synthesis MEM_INIT_FILE="INIT_ALL_0s" */;
// exemplar begin
// exemplar attribute pic_datamem_0_0_0 MEM_LPC_FILE pic_datamem.lpc
// exemplar attribute pic_datamem_0_0_0 MEM_INIT_FILE INIT_ALL_0s
// exemplar end
endmodule