-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathvivado_11072.backup.jou
69 lines (65 loc) · 4.77 KB
/
vivado_11072.backup.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
#-----------------------------------------------------------
# Vivado v2018.2 (64-bit)
# SW Build 2258646 on Thu Jun 14 20:03:12 MDT 2018
# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018
# Start of session at: Sat Dec 17 14:27:34 2022
# Process ID: 11072
# Current directory: C:/Xilinx/projects/Bidirectional_Transmitter
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent8060 C:\Xilinx\projects\Bidirectional_Transmitter\Bidirectional_Transmitter.xpr
# Log file: C:/Xilinx/projects/Bidirectional_Transmitter/vivado.log
# Journal file: C:/Xilinx/projects/Bidirectional_Transmitter\vivado.jou
#-----------------------------------------------------------
start_gui
open_project C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.xpr
open_bd_design {C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd}
update_compile_order -fileset sources_1
delete_bd_objs [get_bd_nets din_0_1] [get_bd_ports din]
delete_bd_objs [get_bd_nets gpio_parse_0_din_gpio] [get_bd_ports din_gpio]
connect_bd_net [get_bd_pins gpio_parse_0/din_gpio] [get_bd_pins UART_Reciever_0/din]
regenerate_bd_layout
validate_bd_design
export_ip_user_files -of_objects [get_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v] -no_script -reset -force -quiet
remove_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
file delete -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
update_compile_order -fileset sources_1
save_bd_design
regenerate_bd_layout
validate_bd_design -force
save_bd_design
reset_run synth_1
reset_run Bidirectional_Transmitter_processing_system7_0_0_synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
wait_on_run impl_1
open_run impl_1
make_wrapper -files [get_files C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/Bidirectional_Transmitter.bd] -top
add_files -norecurse C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.srcs/sources_1/bd/Bidirectional_Transmitter/hdl/Bidirectional_Transmitter_wrapper.v
update_compile_order -fileset sources_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top Bidirectional_Transmitter_wrapper [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
wait_on_run impl_1
file copy -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/Bidirectional_Transmitter_wrapper.sysdef C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
launch_sdk -workspace C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk -hwspec C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
update_module_reference Bidirectional_Transmitter_UART_Transmitter_0_0
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
wait_on_run impl_1
file copy -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/Bidirectional_Transmitter_wrapper.sysdef C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
update_module_reference Bidirectional_Transmitter_UART_Reciever_0_0
update_module_reference Bidirectional_Transmitter_UART_Transmitter_0_0
update_module_reference Bidirectional_Transmitter_UART_Reciever_0_0
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
wait_on_run impl_1
file copy -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/Bidirectional_Transmitter_wrapper.sysdef C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf
update_module_reference Bidirectional_Transmitter_UART_Transmitter_0_0
update_module_reference Bidirectional_Transmitter_UART_Reciever_0_0
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 7
wait_on_run impl_1
file copy -force C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.runs/impl_1/Bidirectional_Transmitter_wrapper.sysdef C:/Xilinx/projects/Bidirectional_Transmitter/Bidirectional_Transmitter.sdk/Bidirectional_Transmitter_wrapper.hdf