Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Unimplemented SEQGEN #23

Open
mjc0608 opened this issue Jan 31, 2023 · 1 comment
Open

Unimplemented SEQGEN #23

mjc0608 opened this issue Jan 31, 2023 · 1 comment

Comments

@mjc0608
Copy link

mjc0608 commented Jan 31, 2023

Hi,

I'm using sv2v to translate this project to verilog. I'm using design compiler version T-2022.03-SP3.

It looks like DC generates some SEQGEN cells that contains both synchronous data and asynchronous data, which triggers some assertions in sv2v.

Is there a way to avoid these cells during synthesis? Also, if we want to implement the missing support, what should we do (i.e., which document should we read)?

Best,
Jiacheng

@taylor-bsg
Copy link
Contributor

taylor-bsg commented Jan 31, 2023 via email

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants