Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Verible's parser accepts incorrect program/module creation #2233

Open
luizademelo opened this issue Aug 9, 2024 · 0 comments
Open

Verible's parser accepts incorrect program/module creation #2233

luizademelo opened this issue Aug 9, 2024 · 0 comments
Labels
rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017).

Comments

@luizademelo
Copy link

Describe the bug

The bug happens when there is a declaration of a program that is closed with endmodule. The same happens when there is a declaration of a module that is closed with endprogram

To Reproduce
Run verible-verilog-syntax on this program:

program module_0 ();
endmodule

Another example:

module module_0 ();
endprogram

Actual behavior:

Verible's parser accepts these incorrect programs.

Expected behavior

Verible's parser should reject these programs with a syntax error.

Environment
Verible's version:

v0.0-3724-gdec56671
Commit  2024-07-09
Built   2024-07-10T05:06:15Z

I'm running Verible on Ubuntu 20.04.

@luizademelo luizademelo added the rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017). label Aug 9, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
rejects-valid syntax If the parser wrongly rejects syntactically valid code (according to SV-2017).
Projects
None yet
Development

No branches or pull requests

1 participant