From 02f8d93fef7c4ea3646c271f5a2c27b7f98b32e4 Mon Sep 17 00:00:00 2001 From: Laurent Bristiel Date: Fri, 26 Apr 2024 15:11:33 +0200 Subject: [PATCH 1/2] Change license --- .../hdl/axis_tkeep_handler.vhd | 14 ++++++++++---- .../hdl/axis_tkeep_handler_core.vhd | 14 ++++++++++---- .../hdl/axis_tkeep_handler_reg_bank.vhd | 14 ++++++++++---- .../hdl/axis_tkeep_handler_reg_bank_pkg.vhd | 14 ++++++++++---- .../xgui/axis_tkeep_handler_v1_1.tcl | 11 ++++++++--- .../hdl/axi4s_demux_1_2_keep.vhd | 14 ++++++++++---- .../hdl/axi4s_fifo_xpm.vhd | 14 ++++++++++---- .../hdl/axi4s_mux_2_1_keep.vhd | 14 ++++++++++---- .../hdl/axi4s_pipeline_stage.vhd | 14 ++++++++++---- .../hdl/ccam_evt_type_v2_1_pkg.vhd | 14 ++++++++++---- .../hdl/ccam_utils_pkg.vhd | 14 ++++++++++---- .../hdl/event_stream_smart_tracker.vhd | 14 ++++++++++---- .../hdl/event_stream_smart_tracker_reg_bank.vhd | 14 ++++++++++---- .../event_stream_smart_tracker_reg_bank_pkg.vhd | 14 ++++++++++---- .../hdl/evt21_smart_drop.vhd | 14 ++++++++++---- .../hdl/evt21_th_recovery.vhd | 14 ++++++++++---- .../hdl/evt21_ts_checker.vhd | 14 ++++++++++---- .../hdl/evt_smart_fifo.vhd | 14 ++++++++++---- .../hdl/rst_synchronizer.vhd | 14 ++++++++++---- .../tb/event_stream_smart_tracker_create_prj.tcl | 11 ++++++++--- ip/event_stream_smart_tracker_1_0/tb/simulate.tcl | 11 ++++++++--- .../tb/src/axi4s_pipeline_stage_ena.vhd | 14 ++++++++++---- .../tb/src/axi_lite_master_bfm.vhd | 14 ++++++++++---- .../tb/src/axi_lite_reg_write_catcher.vhd | 14 ++++++++++---- .../tb/src/ccam_evt_types.vhd | 14 ++++++++++---- .../tb/src/ccam_evt_types_v3.vhd | 14 ++++++++++---- .../tb/src/ccam_utils.vhd | 14 ++++++++++---- .../tb/src/clk_rst_bfm.vhd | 14 ++++++++++---- .../tb/src/clk_rst_gen.vhd | 14 ++++++++++---- .../tb/src/event_stream_smart_tracker_tb.vhd | 14 ++++++++++---- .../tb/src/evt_record.vhd | 14 ++++++++++---- .../tb/src/evt_replay.vhd | 14 ++++++++++---- .../xgui/event_stream_smart_tracker_v1_0.tcl | 11 ++++++++--- ip/ps_host_if_2_1/hdl/axi4s_packet_timeout.vhd | 14 ++++++++++---- ip/ps_host_if_2_1/hdl/axi4s_packetizer.vhd | 14 ++++++++++---- ip/ps_host_if_2_1/hdl/ps_host_if.vhd | 14 ++++++++++---- ip/ps_host_if_2_1/hdl/ps_host_if_reg_bank.vhd | 14 ++++++++++---- ip/ps_host_if_2_1/hdl/ps_host_if_reg_bank_pkg.vhd | 14 ++++++++++---- ip/ps_host_if_2_1/tb/ps_host_if_create_prj.tcl | 11 ++++++++--- ip/ps_host_if_2_1/tb/src/axi4s_tlast_checker.vhd | 14 ++++++++++---- ip/ps_host_if_2_1/tb/src/ps_host_if_tb.vhd | 14 ++++++++++---- ip/ps_host_if_2_1/xgui/ps_host_if_v2_1.tcl | 11 ++++++++--- .../kv260/srcs/constr/kv260_pinout_constraints.xdc | 11 ++++++++--- projects/kv260/srcs/hdl/kv260_top_wrapper.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/axi4_pipeline_stage_2.vhd | 14 ++++++++++---- .../sim_tc_001/hdl/axi4s_cfg_fifo_merge_2_1.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/axi4s_cfg_sync_fifo.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/axi4s_demux_1_2.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/axi4s_fork_1_2.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/axi4s_mux_2_1.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/axi4s_sync_fifo_xpm.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/axi_lite_master_bfm.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/axis_ready_pipe.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/axis_serializer.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/ccam_evt_formats.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/ccam_evt_type_v2_1.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/ccam_evt_types.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/ccam_evt_types_v3.vhd | 14 ++++++++++---- projects/kv260/srcs/sim_tc_001/hdl/ccam_utils.vhd | 14 ++++++++++---- projects/kv260/srcs/sim_tc_001/hdl/clk_rst_bfm.vhd | 14 ++++++++++---- projects/kv260/srcs/sim_tc_001/hdl/clk_rst_gen.vhd | 14 ++++++++++---- projects/kv260/srcs/sim_tc_001/hdl/crc16_2lane.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/evt20_sequencer.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/evt20_stream_reader.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/evt30_sequencer.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/evt30_stream_reader.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/evt_record_generic.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/evt_stream_reader.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/evt_verification_pkg.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/file_stream_reader.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/mipi_csi_tx_delay_ctrl.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_dphy.vhd | 14 ++++++++++---- .../sim_tc_001/hdl/mipi_csi_tx_packetheader.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/mipi_tx_2l_axil_bfm.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/mipi_tx_control.vhd | 14 ++++++++++---- .../sim_tc_001/hdl/mipi_tx_control_fifo_xilinx.vhd | 14 ++++++++++---- .../sim_tc_001/hdl/mipi_tx_control_in_frame.vhd | 14 ++++++++++---- .../sim_tc_001/hdl/mipi_tx_control_in_packet.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/mipi_tx_control_out.vhd | 14 ++++++++++---- .../sim_tc_001/hdl/mipi_tx_control_padding_2.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/mipi_tx_fifo.vhd | 14 ++++++++++---- .../sim_tc_001/hdl/mipi_tx_lane_hs_lp_mixer.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/mipi_tx_reg_bank.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/mipi_tx_reg_bank_pkg.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/mipi_tx_sim_bfm.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/tb_local_params.sv | 11 ++++++++--- projects/kv260/srcs/sim_tc_001/hdl/test_bench.sv | 11 ++++++++--- .../srcs/sim_tc_001/hdl/xilinx_mipi_tx_axil_2l.vhd | 14 ++++++++++---- .../srcs/sim_tc_001/hdl/xilinx_mipi_tx_ip_2l.vhd | 14 ++++++++++---- .../sim_tc_001/hdl/xilinx_mipi_tx_packet_if.vhd | 14 ++++++++++---- .../kv260/srcs/sim_tc_001/hdl/zynq_fpga_bfm.sv | 11 ++++++++--- 91 files changed, 890 insertions(+), 354 deletions(-) diff --git a/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler.vhd b/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler.vhd index 98310b2..208f57a 100644 --- a/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler.vhd +++ b/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_core.vhd b/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_core.vhd index 26d0aba..2f8c313 100644 --- a/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_core.vhd +++ b/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_core.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_reg_bank.vhd b/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_reg_bank.vhd index 397c727..ab9ac19 100644 --- a/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_reg_bank.vhd +++ b/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_reg_bank.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_reg_bank_pkg.vhd b/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_reg_bank_pkg.vhd index 5374f73..e453157 100644 --- a/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_reg_bank_pkg.vhd +++ b/ip/axis_tkeep_handler_1_1/hdl/axis_tkeep_handler_reg_bank_pkg.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/axis_tkeep_handler_1_1/xgui/axis_tkeep_handler_v1_1.tcl b/ip/axis_tkeep_handler_1_1/xgui/axis_tkeep_handler_v1_1.tcl index 5c7d2a7..3973e23 100644 --- a/ip/axis_tkeep_handler_1_1/xgui/axis_tkeep_handler_v1_1.tcl +++ b/ip/axis_tkeep_handler_1_1/xgui/axis_tkeep_handler_v1_1.tcl @@ -1,6 +1,11 @@ -# Copyright (c) Prophesee S.A. - All Rights Reserved -# Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). -# You may not use this file except in compliance with these License T&C's. +# Copyright (c) Prophesee S.A. +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed +# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and limitations under the License. # Definitional proc to organize widgets for parameters. proc init_gui { IPINST } { diff --git a/ip/event_stream_smart_tracker_1_0/hdl/axi4s_demux_1_2_keep.vhd b/ip/event_stream_smart_tracker_1_0/hdl/axi4s_demux_1_2_keep.vhd index 1cc6f25..67f02e3 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/axi4s_demux_1_2_keep.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/axi4s_demux_1_2_keep.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/axi4s_fifo_xpm.vhd b/ip/event_stream_smart_tracker_1_0/hdl/axi4s_fifo_xpm.vhd index 8b018fd..a02ca30 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/axi4s_fifo_xpm.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/axi4s_fifo_xpm.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/axi4s_mux_2_1_keep.vhd b/ip/event_stream_smart_tracker_1_0/hdl/axi4s_mux_2_1_keep.vhd index 1a66776..fa1c3f4 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/axi4s_mux_2_1_keep.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/axi4s_mux_2_1_keep.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/axi4s_pipeline_stage.vhd b/ip/event_stream_smart_tracker_1_0/hdl/axi4s_pipeline_stage.vhd index c917edb..fb12fb4 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/axi4s_pipeline_stage.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/axi4s_pipeline_stage.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/ccam_evt_type_v2_1_pkg.vhd b/ip/event_stream_smart_tracker_1_0/hdl/ccam_evt_type_v2_1_pkg.vhd index bd0372f..e691513 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/ccam_evt_type_v2_1_pkg.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/ccam_evt_type_v2_1_pkg.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/ccam_utils_pkg.vhd b/ip/event_stream_smart_tracker_1_0/hdl/ccam_utils_pkg.vhd index 578f5b8..de40f21 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/ccam_utils_pkg.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/ccam_utils_pkg.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker.vhd b/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker.vhd index 6a98361..7c083ab 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker_reg_bank.vhd b/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker_reg_bank.vhd index 111ee87..58e9caf 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker_reg_bank.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker_reg_bank.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker_reg_bank_pkg.vhd b/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker_reg_bank_pkg.vhd index 9aadae1..463646a 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker_reg_bank_pkg.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/event_stream_smart_tracker_reg_bank_pkg.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/evt21_smart_drop.vhd b/ip/event_stream_smart_tracker_1_0/hdl/evt21_smart_drop.vhd index 5e43cbf..bd05df6 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/evt21_smart_drop.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/evt21_smart_drop.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/evt21_th_recovery.vhd b/ip/event_stream_smart_tracker_1_0/hdl/evt21_th_recovery.vhd index 5dfebda..06d1212 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/evt21_th_recovery.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/evt21_th_recovery.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/evt21_ts_checker.vhd b/ip/event_stream_smart_tracker_1_0/hdl/evt21_ts_checker.vhd index 3d6a8a9..0a23691 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/evt21_ts_checker.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/evt21_ts_checker.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/evt_smart_fifo.vhd b/ip/event_stream_smart_tracker_1_0/hdl/evt_smart_fifo.vhd index c4d3174..7d41663 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/evt_smart_fifo.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/evt_smart_fifo.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/hdl/rst_synchronizer.vhd b/ip/event_stream_smart_tracker_1_0/hdl/rst_synchronizer.vhd index 62cce9f..9c97ee2 100644 --- a/ip/event_stream_smart_tracker_1_0/hdl/rst_synchronizer.vhd +++ b/ip/event_stream_smart_tracker_1_0/hdl/rst_synchronizer.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/event_stream_smart_tracker_create_prj.tcl b/ip/event_stream_smart_tracker_1_0/tb/event_stream_smart_tracker_create_prj.tcl index 19dd3b7..c4d5d9b 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/event_stream_smart_tracker_create_prj.tcl +++ b/ip/event_stream_smart_tracker_1_0/tb/event_stream_smart_tracker_create_prj.tcl @@ -1,6 +1,11 @@ -# Copyright (c) Prophesee S.A. - All Rights Reserved -# Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). -# You may not use this file except in compliance with these License T&C's. +# Copyright (c) Prophesee S.A. +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed +# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and limitations under the License. package require fileutil package require inifile diff --git a/ip/event_stream_smart_tracker_1_0/tb/simulate.tcl b/ip/event_stream_smart_tracker_1_0/tb/simulate.tcl index 7c2a277..a2902a9 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/simulate.tcl +++ b/ip/event_stream_smart_tracker_1_0/tb/simulate.tcl @@ -1,6 +1,11 @@ -# Copyright (c) Prophesee S.A. - All Rights Reserved -# Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). -# You may not use this file except in compliance with these License T&C's. +# Copyright (c) Prophesee S.A. +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed +# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and limitations under the License. if [expr $argc == 0] { launch_simulation diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/axi4s_pipeline_stage_ena.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/axi4s_pipeline_stage_ena.vhd index b1dd283..e0f4ca8 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/axi4s_pipeline_stage_ena.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/axi4s_pipeline_stage_ena.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/axi_lite_master_bfm.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/axi_lite_master_bfm.vhd index 7b56692..e70aa01 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/axi_lite_master_bfm.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/axi_lite_master_bfm.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/axi_lite_reg_write_catcher.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/axi_lite_reg_write_catcher.vhd index 2cf9db8..979bb1c 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/axi_lite_reg_write_catcher.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/axi_lite_reg_write_catcher.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/ccam_evt_types.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/ccam_evt_types.vhd index a376f33..16eee4c 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/ccam_evt_types.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/ccam_evt_types.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/ccam_evt_types_v3.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/ccam_evt_types_v3.vhd index 3c48180..24e7601 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/ccam_evt_types_v3.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/ccam_evt_types_v3.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/ccam_utils.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/ccam_utils.vhd index 9910083..ae08895 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/ccam_utils.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/ccam_utils.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/clk_rst_bfm.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/clk_rst_bfm.vhd index f83fe11..dd726a0 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/clk_rst_bfm.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/clk_rst_bfm.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/clk_rst_gen.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/clk_rst_gen.vhd index e95efe3..8ed8272 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/clk_rst_gen.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/clk_rst_gen.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/event_stream_smart_tracker_tb.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/event_stream_smart_tracker_tb.vhd index 0c4a5c6..b4f6c56 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/event_stream_smart_tracker_tb.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/event_stream_smart_tracker_tb.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/evt_record.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/evt_record.vhd index 3880238..cd738ab 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/evt_record.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/evt_record.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/tb/src/evt_replay.vhd b/ip/event_stream_smart_tracker_1_0/tb/src/evt_replay.vhd index 47bafd1..663cca0 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/src/evt_replay.vhd +++ b/ip/event_stream_smart_tracker_1_0/tb/src/evt_replay.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/event_stream_smart_tracker_1_0/xgui/event_stream_smart_tracker_v1_0.tcl b/ip/event_stream_smart_tracker_1_0/xgui/event_stream_smart_tracker_v1_0.tcl index 0c135be..f241a87 100644 --- a/ip/event_stream_smart_tracker_1_0/xgui/event_stream_smart_tracker_v1_0.tcl +++ b/ip/event_stream_smart_tracker_1_0/xgui/event_stream_smart_tracker_v1_0.tcl @@ -1,6 +1,11 @@ -# Copyright (c) Prophesee S.A. - All Rights Reserved -# Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). -# You may not use this file except in compliance with these License T&C's. +# Copyright (c) Prophesee S.A. +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed +# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and limitations under the License. # Loading additional proc with user specified bodies to compute parameter values. diff --git a/ip/ps_host_if_2_1/hdl/axi4s_packet_timeout.vhd b/ip/ps_host_if_2_1/hdl/axi4s_packet_timeout.vhd index 7568220..8c0458b 100644 --- a/ip/ps_host_if_2_1/hdl/axi4s_packet_timeout.vhd +++ b/ip/ps_host_if_2_1/hdl/axi4s_packet_timeout.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/ps_host_if_2_1/hdl/axi4s_packetizer.vhd b/ip/ps_host_if_2_1/hdl/axi4s_packetizer.vhd index 136a697..c42d5ec 100644 --- a/ip/ps_host_if_2_1/hdl/axi4s_packetizer.vhd +++ b/ip/ps_host_if_2_1/hdl/axi4s_packetizer.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/ps_host_if_2_1/hdl/ps_host_if.vhd b/ip/ps_host_if_2_1/hdl/ps_host_if.vhd index d14e4cd..d37358c 100644 --- a/ip/ps_host_if_2_1/hdl/ps_host_if.vhd +++ b/ip/ps_host_if_2_1/hdl/ps_host_if.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/ps_host_if_2_1/hdl/ps_host_if_reg_bank.vhd b/ip/ps_host_if_2_1/hdl/ps_host_if_reg_bank.vhd index ececf8d..e2c345d 100644 --- a/ip/ps_host_if_2_1/hdl/ps_host_if_reg_bank.vhd +++ b/ip/ps_host_if_2_1/hdl/ps_host_if_reg_bank.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/ps_host_if_2_1/hdl/ps_host_if_reg_bank_pkg.vhd b/ip/ps_host_if_2_1/hdl/ps_host_if_reg_bank_pkg.vhd index f614181..04141f5 100644 --- a/ip/ps_host_if_2_1/hdl/ps_host_if_reg_bank_pkg.vhd +++ b/ip/ps_host_if_2_1/hdl/ps_host_if_reg_bank_pkg.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/ps_host_if_2_1/tb/ps_host_if_create_prj.tcl b/ip/ps_host_if_2_1/tb/ps_host_if_create_prj.tcl index a9127c6..4e084a1 100644 --- a/ip/ps_host_if_2_1/tb/ps_host_if_create_prj.tcl +++ b/ip/ps_host_if_2_1/tb/ps_host_if_create_prj.tcl @@ -1,6 +1,11 @@ -# Copyright (c) Prophesee S.A. - All Rights Reserved -# Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). -# You may not use this file except in compliance with these License T&C's. +# Copyright (c) Prophesee S.A. +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed +# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and limitations under the License. package require fileutil package require inifile diff --git a/ip/ps_host_if_2_1/tb/src/axi4s_tlast_checker.vhd b/ip/ps_host_if_2_1/tb/src/axi4s_tlast_checker.vhd index d1074fc..8d480c4 100644 --- a/ip/ps_host_if_2_1/tb/src/axi4s_tlast_checker.vhd +++ b/ip/ps_host_if_2_1/tb/src/axi4s_tlast_checker.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/ps_host_if_2_1/tb/src/ps_host_if_tb.vhd b/ip/ps_host_if_2_1/tb/src/ps_host_if_tb.vhd index 54eada4..d5e565a 100644 --- a/ip/ps_host_if_2_1/tb/src/ps_host_if_tb.vhd +++ b/ip/ps_host_if_2_1/tb/src/ps_host_if_tb.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/ip/ps_host_if_2_1/xgui/ps_host_if_v2_1.tcl b/ip/ps_host_if_2_1/xgui/ps_host_if_v2_1.tcl index eb45278..2ee61b4 100644 --- a/ip/ps_host_if_2_1/xgui/ps_host_if_v2_1.tcl +++ b/ip/ps_host_if_2_1/xgui/ps_host_if_v2_1.tcl @@ -1,6 +1,11 @@ -# Copyright (c) Prophesee S.A. - All Rights Reserved -# Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). -# You may not use this file except in compliance with these License T&C's. +# Copyright (c) Prophesee S.A. +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed +# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and limitations under the License. # Definitional proc to organize widgets for parameters. proc init_gui { IPINST } { diff --git a/projects/kv260/srcs/constr/kv260_pinout_constraints.xdc b/projects/kv260/srcs/constr/kv260_pinout_constraints.xdc index 70a9102..7ba7150 100644 --- a/projects/kv260/srcs/constr/kv260_pinout_constraints.xdc +++ b/projects/kv260/srcs/constr/kv260_pinout_constraints.xdc @@ -1,6 +1,11 @@ -# Copyright (c) Prophesee S.A. - All Rights Reserved -# Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). -# You may not use this file except in compliance with these License T&C's. +# Copyright (c) Prophesee S.A. +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed +# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and limitations under the License. # CCAM5 Interface diff --git a/projects/kv260/srcs/hdl/kv260_top_wrapper.vhd b/projects/kv260/srcs/hdl/kv260_top_wrapper.vhd index 133246d..67b65c0 100644 --- a/projects/kv260/srcs/hdl/kv260_top_wrapper.vhd +++ b/projects/kv260/srcs/hdl/kv260_top_wrapper.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/axi4_pipeline_stage_2.vhd b/projects/kv260/srcs/sim_tc_001/hdl/axi4_pipeline_stage_2.vhd index c472093..bfe8f27 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/axi4_pipeline_stage_2.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/axi4_pipeline_stage_2.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_cfg_fifo_merge_2_1.vhd b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_cfg_fifo_merge_2_1.vhd index b5e9e9b..c20c900 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_cfg_fifo_merge_2_1.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_cfg_fifo_merge_2_1.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_cfg_sync_fifo.vhd b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_cfg_sync_fifo.vhd index 9e71699..8c41387 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_cfg_sync_fifo.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_cfg_sync_fifo.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_demux_1_2.vhd b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_demux_1_2.vhd index e104fc7..ba0579a 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_demux_1_2.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_demux_1_2.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_fork_1_2.vhd b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_fork_1_2.vhd index cf2c32c..9a8f2db 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_fork_1_2.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_fork_1_2.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_mux_2_1.vhd b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_mux_2_1.vhd index 0f0b283..78a40d5 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_mux_2_1.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_mux_2_1.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_sync_fifo_xpm.vhd b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_sync_fifo_xpm.vhd index 3085f8c..45a71c3 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/axi4s_sync_fifo_xpm.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/axi4s_sync_fifo_xpm.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/axi_lite_master_bfm.vhd b/projects/kv260/srcs/sim_tc_001/hdl/axi_lite_master_bfm.vhd index 7b56692..e70aa01 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/axi_lite_master_bfm.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/axi_lite_master_bfm.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/axis_ready_pipe.vhd b/projects/kv260/srcs/sim_tc_001/hdl/axis_ready_pipe.vhd index 2cf366b..049d703 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/axis_ready_pipe.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/axis_ready_pipe.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/axis_serializer.vhd b/projects/kv260/srcs/sim_tc_001/hdl/axis_serializer.vhd index eb1579d..2bb9fb8 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/axis_serializer.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/axis_serializer.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_formats.vhd b/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_formats.vhd index 7b27d5d..62129ae 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_formats.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_formats.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_type_v2_1.vhd b/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_type_v2_1.vhd index 3758013..fd98764 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_type_v2_1.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_type_v2_1.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_types.vhd b/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_types.vhd index 13b225f..1c500a0 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_types.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_types.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_types_v3.vhd b/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_types_v3.vhd index 3c48180..24e7601 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_types_v3.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/ccam_evt_types_v3.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/ccam_utils.vhd b/projects/kv260/srcs/sim_tc_001/hdl/ccam_utils.vhd index 9910083..ae08895 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/ccam_utils.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/ccam_utils.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/clk_rst_bfm.vhd b/projects/kv260/srcs/sim_tc_001/hdl/clk_rst_bfm.vhd index f83fe11..dd726a0 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/clk_rst_bfm.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/clk_rst_bfm.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/clk_rst_gen.vhd b/projects/kv260/srcs/sim_tc_001/hdl/clk_rst_gen.vhd index e95efe3..8ed8272 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/clk_rst_gen.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/clk_rst_gen.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/crc16_2lane.vhd b/projects/kv260/srcs/sim_tc_001/hdl/crc16_2lane.vhd index ea0b1ce..f52bbc0 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/crc16_2lane.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/crc16_2lane.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/evt20_sequencer.vhd b/projects/kv260/srcs/sim_tc_001/hdl/evt20_sequencer.vhd index c6b6816..ac4890f 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/evt20_sequencer.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/evt20_sequencer.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/evt20_stream_reader.vhd b/projects/kv260/srcs/sim_tc_001/hdl/evt20_stream_reader.vhd index c1645b3..80478e9 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/evt20_stream_reader.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/evt20_stream_reader.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/evt30_sequencer.vhd b/projects/kv260/srcs/sim_tc_001/hdl/evt30_sequencer.vhd index ce0ab68..47c3dd6 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/evt30_sequencer.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/evt30_sequencer.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/evt30_stream_reader.vhd b/projects/kv260/srcs/sim_tc_001/hdl/evt30_stream_reader.vhd index 9e53892..7129fd1 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/evt30_stream_reader.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/evt30_stream_reader.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/evt_record_generic.vhd b/projects/kv260/srcs/sim_tc_001/hdl/evt_record_generic.vhd index 33ee455..c8e26e3 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/evt_record_generic.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/evt_record_generic.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/evt_stream_reader.vhd b/projects/kv260/srcs/sim_tc_001/hdl/evt_stream_reader.vhd index f092997..1d99cd3 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/evt_stream_reader.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/evt_stream_reader.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/evt_verification_pkg.vhd b/projects/kv260/srcs/sim_tc_001/hdl/evt_verification_pkg.vhd index 6e7506a..de68352 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/evt_verification_pkg.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/evt_verification_pkg.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/file_stream_reader.vhd b/projects/kv260/srcs/sim_tc_001/hdl/file_stream_reader.vhd index 351fc13..f268c94 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/file_stream_reader.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/file_stream_reader.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_delay_ctrl.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_delay_ctrl.vhd index e9c1faa..f437c51 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_delay_ctrl.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_delay_ctrl.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_dphy.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_dphy.vhd index 611dcd7..63dd2b0 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_dphy.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_dphy.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_packetheader.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_packetheader.vhd index 03e9e2e..5b0d2f8 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_packetheader.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_csi_tx_packetheader.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_2l_axil_bfm.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_2l_axil_bfm.vhd index 0b5eadf..a18ae18 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_2l_axil_bfm.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_2l_axil_bfm.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control.vhd index 2896ff5..12b7396 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_fifo_xilinx.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_fifo_xilinx.vhd index 9a03448..cf12d9b 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_fifo_xilinx.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_fifo_xilinx.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_in_frame.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_in_frame.vhd index 5efa094..deb2def 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_in_frame.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_in_frame.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_in_packet.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_in_packet.vhd index 274109c..744617f 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_in_packet.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_in_packet.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_out.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_out.vhd index 15ad84c..a4e9c8f 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_out.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_out.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_padding_2.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_padding_2.vhd index a12f72c..e8b8dd4 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_padding_2.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_control_padding_2.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_fifo.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_fifo.vhd index 61e78ec..d1fdc9f 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_fifo.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_fifo.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_lane_hs_lp_mixer.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_lane_hs_lp_mixer.vhd index ede80fc..9d6f1d0 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_lane_hs_lp_mixer.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_lane_hs_lp_mixer.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_reg_bank.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_reg_bank.vhd index f9b0f5c..7c7c032 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_reg_bank.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_reg_bank.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_reg_bank_pkg.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_reg_bank_pkg.vhd index fc53368..e480906 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_reg_bank_pkg.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_reg_bank_pkg.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_sim_bfm.vhd b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_sim_bfm.vhd index 16c4cb3..297debb 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_sim_bfm.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/mipi_tx_sim_bfm.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/tb_local_params.sv b/projects/kv260/srcs/sim_tc_001/hdl/tb_local_params.sv index e544588..5f0ffd9 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/tb_local_params.sv +++ b/projects/kv260/srcs/sim_tc_001/hdl/tb_local_params.sv @@ -1,6 +1,11 @@ -// Copyright (c) Prophesee S.A. - All Rights Reserved -// Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). -// You may not use this file except in compliance with these License T&C's. +// Copyright (c) Prophesee S.A. +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// Unless required by applicable law or agreed to in writing, software distributed under the License is distributed +// on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and limitations under the License. // Define here access to Zynq VIP instance `define ZYNQ_VIP_0 test_bench.kv260_top_wrapper.kv260_top_i.zynq_processing_system.inst diff --git a/projects/kv260/srcs/sim_tc_001/hdl/test_bench.sv b/projects/kv260/srcs/sim_tc_001/hdl/test_bench.sv index 09cbff3..a388a0a 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/test_bench.sv +++ b/projects/kv260/srcs/sim_tc_001/hdl/test_bench.sv @@ -1,6 +1,11 @@ -// Copyright (c) Prophesee S.A. - All Rights Reserved -// Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). -// You may not use this file except in compliance with these License T&C's. +// Copyright (c) Prophesee S.A. +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// Unless required by applicable law or agreed to in writing, software distributed under the License is distributed +// on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and limitations under the License. `timescale 1ns / 1ps `include "tb_local_params.sv" diff --git a/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_axil_2l.vhd b/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_axil_2l.vhd index e564d48..2da47cf 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_axil_2l.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_axil_2l.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_ip_2l.vhd b/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_ip_2l.vhd index 75c118b..1b84e5c 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_ip_2l.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_ip_2l.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_packet_if.vhd b/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_packet_if.vhd index ce4a47e..8c81b8f 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_packet_if.vhd +++ b/projects/kv260/srcs/sim_tc_001/hdl/xilinx_mipi_tx_packet_if.vhd @@ -1,8 +1,14 @@ ------------------------------------------------------------------------------- --- Copyright (c) Prophesee S.A. - All Rights Reserved --- Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). --- You may not use this file except in compliance with these License T&C's. -------------------------------------------------------------------------------- +-- Copyright (c) Prophesee S.A. +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +-- Unless required by applicable law or agreed to in writing, software distributed +-- under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +-- CONDITIONS OF ANY KIND, either express or implied. See the License +-- for the specific language governing permissions and limitations under the License. +--------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; diff --git a/projects/kv260/srcs/sim_tc_001/hdl/zynq_fpga_bfm.sv b/projects/kv260/srcs/sim_tc_001/hdl/zynq_fpga_bfm.sv index 3d02915..b19975b 100644 --- a/projects/kv260/srcs/sim_tc_001/hdl/zynq_fpga_bfm.sv +++ b/projects/kv260/srcs/sim_tc_001/hdl/zynq_fpga_bfm.sv @@ -1,6 +1,11 @@ -// Copyright (c) Prophesee S.A. - All Rights Reserved -// Subject to Starter Kit Specific Terms and Conditions ("License T&C's"). -// You may not use this file except in compliance with these License T&C's. +// Copyright (c) Prophesee S.A. +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 +// Unless required by applicable law or agreed to in writing, software distributed under the License is distributed +// on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and limitations under the License. `timescale 1ns / 1ps `include "tb_local_params.sv" From 56e136a3a0b91b52b2bb28b37bb8a8f324b0563e Mon Sep 17 00:00:00 2001 From: Laurent Bristiel Date: Mon, 29 Apr 2024 14:33:42 +0200 Subject: [PATCH 2/2] remove header from generated files --- .../xgui/axis_tkeep_handler_v1_1.tcl | 9 --------- .../tb/event_stream_smart_tracker_create_prj.tcl | 9 --------- ip/event_stream_smart_tracker_1_0/tb/simulate.tcl | 9 --------- .../xgui/event_stream_smart_tracker_v1_0.tcl | 10 ---------- ip/ps_host_if_2_1/tb/ps_host_if_create_prj.tcl | 9 --------- ip/ps_host_if_2_1/xgui/ps_host_if_v2_1.tcl | 9 --------- 6 files changed, 55 deletions(-) diff --git a/ip/axis_tkeep_handler_1_1/xgui/axis_tkeep_handler_v1_1.tcl b/ip/axis_tkeep_handler_1_1/xgui/axis_tkeep_handler_v1_1.tcl index 3973e23..03499a8 100644 --- a/ip/axis_tkeep_handler_1_1/xgui/axis_tkeep_handler_v1_1.tcl +++ b/ip/axis_tkeep_handler_1_1/xgui/axis_tkeep_handler_v1_1.tcl @@ -1,12 +1,3 @@ -# Copyright (c) Prophesee S.A. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 -# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed -# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and limitations under the License. - # Definitional proc to organize widgets for parameters. proc init_gui { IPINST } { ipgui::add_param $IPINST -name "Component_Name" diff --git a/ip/event_stream_smart_tracker_1_0/tb/event_stream_smart_tracker_create_prj.tcl b/ip/event_stream_smart_tracker_1_0/tb/event_stream_smart_tracker_create_prj.tcl index c4d5d9b..635d22b 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/event_stream_smart_tracker_create_prj.tcl +++ b/ip/event_stream_smart_tracker_1_0/tb/event_stream_smart_tracker_create_prj.tcl @@ -1,12 +1,3 @@ -# Copyright (c) Prophesee S.A. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 -# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed -# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and limitations under the License. - package require fileutil package require inifile package require vivado_utils diff --git a/ip/event_stream_smart_tracker_1_0/tb/simulate.tcl b/ip/event_stream_smart_tracker_1_0/tb/simulate.tcl index a2902a9..72383fb 100644 --- a/ip/event_stream_smart_tracker_1_0/tb/simulate.tcl +++ b/ip/event_stream_smart_tracker_1_0/tb/simulate.tcl @@ -1,12 +1,3 @@ -# Copyright (c) Prophesee S.A. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 -# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed -# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and limitations under the License. - if [expr $argc == 0] { launch_simulation } else { diff --git a/ip/event_stream_smart_tracker_1_0/xgui/event_stream_smart_tracker_v1_0.tcl b/ip/event_stream_smart_tracker_1_0/xgui/event_stream_smart_tracker_v1_0.tcl index f241a87..420519a 100644 --- a/ip/event_stream_smart_tracker_1_0/xgui/event_stream_smart_tracker_v1_0.tcl +++ b/ip/event_stream_smart_tracker_1_0/xgui/event_stream_smart_tracker_v1_0.tcl @@ -1,13 +1,3 @@ -# Copyright (c) Prophesee S.A. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 -# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed -# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and limitations under the License. - - # Loading additional proc with user specified bodies to compute parameter values. source [file join [file dirname [file dirname [info script]]] gui/event_stream_smart_tracker_v1_0.gtcl] diff --git a/ip/ps_host_if_2_1/tb/ps_host_if_create_prj.tcl b/ip/ps_host_if_2_1/tb/ps_host_if_create_prj.tcl index 4e084a1..95a3c87 100644 --- a/ip/ps_host_if_2_1/tb/ps_host_if_create_prj.tcl +++ b/ip/ps_host_if_2_1/tb/ps_host_if_create_prj.tcl @@ -1,12 +1,3 @@ -# Copyright (c) Prophesee S.A. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 -# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed -# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and limitations under the License. - package require fileutil package require inifile package require vivado_utils diff --git a/ip/ps_host_if_2_1/xgui/ps_host_if_v2_1.tcl b/ip/ps_host_if_2_1/xgui/ps_host_if_v2_1.tcl index 2ee61b4..ae2cd48 100644 --- a/ip/ps_host_if_2_1/xgui/ps_host_if_v2_1.tcl +++ b/ip/ps_host_if_2_1/xgui/ps_host_if_v2_1.tcl @@ -1,12 +1,3 @@ -# Copyright (c) Prophesee S.A. -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 -# Unless required by applicable law or agreed to in writing, software distributed under the License is distributed -# on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and limitations under the License. - # Definitional proc to organize widgets for parameters. proc init_gui { IPINST } { ipgui::add_param $IPINST -name "Component_Name"