Skip to content
This repository has been archived by the owner on Feb 27, 2024. It is now read-only.

Add swerv targets #526

Draft
wants to merge 849 commits into
base: uhdm-verilator
Choose a base branch
from
Draft

Add swerv targets #526

wants to merge 849 commits into from

Conversation

RRozak
Copy link
Member

@RRozak RRozak commented Oct 11, 2021

No description provided.

RRozak and others added 30 commits September 2, 2021 13:20
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Bumps [uhdm-tests/ibex/ibex](https://github.com/lowRISC/ibex) from `a190200` to `d449663`.
- [Release notes](https://github.com/lowRISC/ibex/releases)
- [Commits](lowRISC/ibex@a190200...d449663)

---
updated-dependencies:
- dependency-name: uhdm-tests/ibex/ibex
  dependency-type: direct:production
...

Signed-off-by: dependabot[bot] <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Bumps [uhdm-tests/ibex/ibex](https://github.com/lowRISC/ibex) from `d449663` to `dbc2b6f`.
- [Release notes](https://github.com/lowRISC/ibex/releases)
- [Commits](lowRISC/ibex@d449663...dbc2b6f)

---
updated-dependencies:
- dependency-name: uhdm-tests/ibex/ibex
  dependency-type: direct:production
...

Signed-off-by: dependabot[bot] <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
RRozak and others added 29 commits October 4, 2021 09:41
Signed-off-by: Ryszard Różak <[email protected]>
…s/ibex/ibex-873e228

Bump uhdm-tests/ibex/ibex from `dbc2b6f` to `873e228`
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Rafal Kapuscik <[email protected]>
Signed-off-by: Rafal Kapuscik <[email protected]>
Signed-off-by: Rafal Kapuscik <[email protected]>
Signed-off-by: Rafal Kapuscik <[email protected]>
Signed-off-by: Rafal Kapuscik <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Fix handling of vpiMultiAssignmentPattern with vpiConcatOp as operand
Add handling of vpiDisable
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Signed-off-by: Ryszard Różak <[email protected]>
Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

5 participants