Skip to content
This repository has been archived by the owner on Jun 3, 2024. It is now read-only.

Add SelectInAlwaysInFor #643

Open
wants to merge 1 commit into
base: master
Choose a base branch
from
Open
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
3 changes: 3 additions & 0 deletions tests/SelectInAlwaysInFor/Makefile.in
Original file line number Diff line number Diff line change
@@ -0,0 +1,3 @@
TOP_FILE := $(TEST_DIR)/top.sv
TOP_MODULE := top
VERILATOR_FLAGS := $(TEST_DIR)/top.vlt
40 changes: 40 additions & 0 deletions tests/SelectInAlwaysInFor/main.cpp
Original file line number Diff line number Diff line change
@@ -0,0 +1,40 @@
#include <iostream>
#include <verilated_vcd_c.h>

#define VL_DEBUG
#include "Vtop.h"
#include "verilated.h"

static vluint64_t main_time = 0;

double
sc_time_stamp()
{
return main_time;
}

int main (int argc, char **argv) {
Verilated::commandArgs(argc, argv);
Vtop *top = new Vtop();

Verilated::traceEverOn(true);
VerilatedVcdC* tfp = new VerilatedVcdC;
top->trace(tfp, 99);
tfp->open("dump.vcd");

while (!Verilated::gotFinish() && (main_time < 100)) {
top->eval();
tfp->dump(main_time);

main_time += 1;

std::cout << "time: " << main_time
<< " o: " << (int)top->o
<< std::endl;
}
top->final();
tfp->close();
delete top;

return 0;
}
15 changes: 15 additions & 0 deletions tests/SelectInAlwaysInFor/top.sv
Original file line number Diff line number Diff line change
@@ -0,0 +1,15 @@
module top(output logic [31:0] o);
logic [1:0][31:0] data_state;

assign data_state[0] = 32'hABCD;

for (genvar r = 0; r < 1; r++) begin : gen_round
logic [31:0] data_state_sbox;
always_comb begin : p_enc
data_state_sbox = data_state[r];
data_state[r + 1] = data_state_sbox;
end
end // gen_round

assign o = data_state[1];
endmodule
3 changes: 3 additions & 0 deletions tests/SelectInAlwaysInFor/top.vlt
Original file line number Diff line number Diff line change
@@ -0,0 +1,3 @@
`verilator_config

split_var -module "top" -var "data_state"
6 changes: 6 additions & 0 deletions tests/SelectInAlwaysInFor/yosys_script
Original file line number Diff line number Diff line change
@@ -0,0 +1,6 @@
plugin -i uhdm
read_uhdm -debug top.uhdm
prep -top \top
write_verilog
write_verilog yosys.sv
sim -rstlen 10 -vcd dump.vcd