Skip to content

Commit

Permalink
Update yosys version to 0.44 (#2510)
Browse files Browse the repository at this point in the history
This commit also disables creating `$scopeinfo` cells in large designs
tests.

`$scopeinfo` is Yosys internal cell and should be removed before passing
netlist to another tool.
  • Loading branch information
kamilrakoczy authored Aug 7, 2024
2 parents 1ca7c1c + 6b3dd53 commit fd4b2bd
Show file tree
Hide file tree
Showing 6 changed files with 8 additions and 7 deletions.
4 changes: 2 additions & 2 deletions .github/workflows/main.yml
Original file line number Diff line number Diff line change
Expand Up @@ -376,7 +376,7 @@ jobs:
overwrite: true
file_glob: true

# Synlig requires yosys version 0.39 or later
# Synlig requires yosys version 0.40 or later
# which is currently not available in debian repositories.
# This test can be uncommented when required version will be available.
# test-release-with-packaged-yosys:
Expand Down Expand Up @@ -462,7 +462,7 @@ jobs:
(tuttest README.md path-setup; tuttest README.md example-uhdm-ver2) | bash -
tuttest README.md example-multiple-files | (. <(tuttest README.md path-setup) && yosys)
# Synlig requires yosys version 0.39 or later
# Synlig requires yosys version 0.40 or later
# which is currently not available in debian repositories.
# This test can be uncommented when required version will be available.
# test-plugin-with-packaged-yosys:
Expand Down
2 changes: 1 addition & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ Before installing the plugin, check that Yosys is installed and correctly config
yosys-config --help
```

The required Yosys version is 0.39 or later.
The required Yosys version is 0.40 or later.
Note that the yosys package with required version is currently unavailable in any Debian repository.
If you don't have Yosys, skip to the [Installation from source](#installation-from-source) section to build Yosys from the source.

Expand Down
3 changes: 2 additions & 1 deletion tests/opentitan/0001_Add_opentitan_patch_for_uhdm.patch
Original file line number Diff line number Diff line change
Expand Up @@ -146,13 +146,14 @@ index 8d6cf89b6..6480e08ba 100644
toplevel: top_earlgrey_nexysvideo
parameters:
- BootRomInitFile
@@ -64,6 +64,15 @@ targets:
@@ -64,6 +64,16 @@ targets:
tools:
vivado:
part: "xc7a200tsbg484-1" # Nexys Video
+ synth: "yosys"
+ yosys_synth_options: ['-flatten', '-iopad', '-noclkbuf', '-family xc7', "frontend=surelog"]
+ yosys_read_options: ['-noassert', '-dump_ast1', '-dump_ast2', '-no_dump_ptr']
+ yosys_extra_passes: ['delete */t:\$scopeinfo']
+ surelog_options: ['-DSYNTHESIS', '-synth']
+ yosys:
+ arch: "xilinx"
Expand Down
2 changes: 1 addition & 1 deletion third_party/Build.yosys.mk
Original file line number Diff line number Diff line change
Expand Up @@ -65,7 +65,7 @@ endif
# Variable evaluation: in recipe, ${var}
define ${ts}.build_command
cd ${${ts}.src_dir}
${MAKE} ${${ts}.make_args} --no-print-directory
${MAKE} ${${ts}.make_args} --no-print-directory ENABLE_LTO=0
endef

define ${ts}.install_command
Expand Down
2 changes: 1 addition & 1 deletion third_party/yosys
Submodule yosys updated 486 files
2 changes: 1 addition & 1 deletion third_party/yosys_mod/synlig_simplify.cc
Original file line number Diff line number Diff line change
Expand Up @@ -852,7 +852,7 @@ bool synlig_simplify(Yosys::AST::AstNode *ast_node, bool const_fold, bool at_zer
// when $display()/$write() functions are used in an initial block, print them during synthesis
Fmt fmt = ast_node->processFormat(stage, /*sformat_like=*/false, default_base);
if (ast_node->str.substr(0, 8) == "$display")
fmt.append_string("\n");
fmt.append_literal("\n");
log("%s", fmt.render().c_str());
} else {
// when $display()/$write() functions are used in an always block, simplify the expressions and
Expand Down

0 comments on commit fd4b2bd

Please sign in to comment.