Skip to content

Commit

Permalink
fix core wrapper axilite bus
Browse files Browse the repository at this point in the history
  • Loading branch information
cbakalis-slac committed Jul 19, 2024
1 parent 962225e commit f62390e
Showing 1 changed file with 2 additions and 3 deletions.
5 changes: 2 additions & 3 deletions LCLS-II/gtyUltraScale+/rtl/TimingGtCoreWrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -36,7 +36,6 @@ entity TimingGtCoreWrapper is
EXTREF_G : boolean := false;
AXI_CLK_FREQ_G : real := 156.25e6;
AXIL_BASE_ADDR_G : slv(31 downto 0);
ADDR_BITS_G : positive := 22;
GTY_DRP_OFFSET_G : slv(31 downto 0) := x"00400000");
port (
-- AXI-Lite Port
Expand Down Expand Up @@ -239,11 +238,11 @@ architecture rtl of TimingGtCoreWrapper is
constant AXI_CROSSBAR_MASTERS_CONFIG_C : AxiLiteCrossbarMasterConfigArray(1 downto 0) := (
0 => (
baseAddr => (AXIL_BASE_ADDR_G+x"00000000"),
addrBits => ADDR_BITS_G,
addrBits => 9,
connectivity => x"FFFF"),
1 => (
baseAddr => (AXIL_BASE_ADDR_G+GTY_DRP_OFFSET_G),
addrBits => ADDR_BITS_G,
addrBits => 12,
connectivity => x"FFFF"));

signal rxCtrl0Out : slv(15 downto 0) := (others => '0');
Expand Down

0 comments on commit f62390e

Please sign in to comment.