Skip to content

Pull requests: llvm/circt

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Reviews
Assignee
Filter by who’s assigned
Sort

Pull requests list

[circt-bmc] Add initial_values attribute to BMC op
#7729 opened Oct 24, 2024 by TaoBi22 Loading…
[Verif] Add ignore attribute to formal
#7719 opened Oct 21, 2024 by leonardt Loading…
[FIRRTL] Don't force non-local trackers in Dedup.
#7709 opened Oct 15, 2024 by mikeurbach Loading…
[arcilator] Add clock divider integration test Arc Involving the `arc` dialect
#7705 opened Oct 14, 2024 by fabianschuiki Loading…
[Arc] Remove obsolete arc.clock_tree and arc.passthrough ops Arc Involving the `arc` dialect
#7704 opened Oct 14, 2024 by fabianschuiki Loading…
[Arc] Improve LowerState to never produce read-after-write conflicts Arc Involving the `arc` dialect
#7703 opened Oct 14, 2024 by fabianschuiki Loading…
[SCFToCalyx] If op with sequential condition Calyx The Calyx dialect
#7687 opened Oct 9, 2024 by jiahanxie353 Loading…
[SCFToCalyx] Memory banking for Calyx Calyx The Calyx dialect
#7671 opened Oct 6, 2024 by jiahanxie353 Loading…
SCF IndexSwitch to nested If-Else
#7670 opened Oct 6, 2024 by jiahanxie353 Loading…
[SCFToCalyx] Build control for nested if operation Calyx The Calyx dialect
#7669 opened Oct 6, 2024 by jiahanxie353 Loading…
[FIRRTL][CAPI] Add documentation
#7668 opened Oct 5, 2024 by SpriteOvO Loading…
[HWToSMT] ArrayCreateOp and ArrayGetOp support
#7666 opened Oct 4, 2024 by maerhart Loading…
[Arc] Automatic module partition
#7650 opened Sep 30, 2024 by SpriteOvO Draft
[Seq] Add cast operation to immutable type
#7638 opened Sep 26, 2024 by uenoku Loading…
[Arc] Implement memory initializers Arc Involving the `arc` dialect
#7559 opened Aug 28, 2024 by fzi-hielscher Draft
[FIRRTL] Add layers output file to file list
#7543 opened Aug 22, 2024 by SpriteOvO Loading…
ProTip! Follow long discussions with comments:>50.