Skip to content

Commit

Permalink
Merge pull request #1226 from slaclab/pre-release
Browse files Browse the repository at this point in the history
Release Candidate v2.54.0
  • Loading branch information
ruck314 authored Jan 24, 2025
2 parents 60065b6 + 04dcaa2 commit 18a3556
Show file tree
Hide file tree
Showing 59 changed files with 1,943 additions and 35 deletions.
2 changes: 1 addition & 1 deletion LICENSE.txt
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@

Copyright (c) 2024, The Board of Trustees of the Leland Stanford Junior
Copyright (c) 2025, The Board of Trustees of the Leland Stanford Junior
University, through SLAC National Accelerator Laboratory (subject to receipt
of any required approvals from the U.S. Dept. of Energy). All rights reserved.
Redistribution and use in source and binary forms, with or without
Expand Down
2 changes: 1 addition & 1 deletion axi/dma/rtl/v2/AxiStreamDmaV2Write.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -443,7 +443,7 @@ begin
v.wMaster.wdata(23 downto 16) := r.lastUser;
v.wMaster.wdata(15 downto 4) := (others => '0');
v.wMaster.wdata(3) := r.continue;
v.wMaster.wdata(2) := '0';
v.wMaster.wdata(2) := r.dmaWrTrack.overflow;
v.wMaster.wdata(1 downto 0) := r.result;

v.wMaster.wstrb := resize(x"FF", 128);
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -77,6 +77,7 @@ entity GigEthGtyUltraScaleWrapper is
gtRefClk : in sl := '0';
gtClkP : in sl := '1';
gtClkN : in sl := '0';
gtClkOut : out sl;
-- Copy of internal MMCM reference clock and Reset
refClkOut : out sl;
refRstOut : out sl;
Expand Down Expand Up @@ -122,7 +123,7 @@ begin
IB => gtClkN,
CEB => '0',
ODIV2 => gtClk,
O => open);
O => gtClkOut);

BUFG_GT_Inst : BUFG_GT
port map (
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -70,6 +70,7 @@ entity XauiGtyUltraScaleWrapper is
-- MGT Clock Port (156.25MHz or 312.5MHz)
gtClkP : in sl;
gtClkN : in sl;
gtClkOut : out sl;
-- MGT Ports
gtTxP : out slv(3 downto 0);
gtTxN : out slv(3 downto 0);
Expand All @@ -88,6 +89,7 @@ architecture mapping of XauiGtyUltraScaleWrapper is
begin

phyReady <= linkUp;
gtClkOut <= refClk;

U_refClk : IBUFDS_GTE4
port map (
Expand Down
Loading

0 comments on commit 18a3556

Please sign in to comment.